Verilog HDL 设计显示译码器

逻辑原理:
7 段数码是纯组合电路,通常的小规模专用 IC,如 74 或 4000 系列的器件只能作十进制 BCD 码译码,然而数字系统中的数据处理和运算都是 2 进制的,所以输出表达都是 16 进制的,为了满足 16 进制数的译码显示。
7 段译码器的输出信号 LED7S 的 7 位分别接如下图所示数码管的 7 个段,高位在左,低位在右。例如当 LED7S输出为“1101101”时,数码管的 7 个段: g,f,e,d,c,b,a 分别接 1,1,0,1,1,0,1;接有高电平的段发亮,于是数码管显示“5”。注意,这里没有考虑表示小数点的发光管。
7 段数码显示译码器设计采用 case 语句对数码管的七个段分别进行赋值 0 或 1,实现数字的显示。

共阴数码管:将多只LED的阴极连在一起即为共阴式,而将多只LED的阳极连在一起即为共阳式。
以共阴式为例,如把阴极接地,在相应段的阳极接上正电源,该段即会发光。当然,LED的电流通常较小,一般均需在回路中接上限流电阻。假如我们将"b"和"c"段接上正电源,其它端接地或悬空,那么"b"和"c"段发光,此时,数码管显示将显示数字“1”。而将"a"、“b”、“d”、"e"和"g"段都接上正电源,其它引脚悬空,此时数码管将显示“2”。其它字符的显示原理类同。
以上引自http://www.elecfans.com/yuanqijian/jiekou/2009031430620.html

verilog HDL语言实现:

module decl7s_test(a,led7s);
input [3:0] a;
output [6:0] led7s;
reg [6:0] led7s;
always @(a)
begin
case(a)
4’b0000:led7s=7’b0111111;
4’b0001:led7s=7’b0000110;
4’b0010:led7s=7’b1011011;
4’b0011:led7s=7’b1001111;
4’b0100:led7s=7’b1100110;
4’b0101:led7s=7’b1101101;
4’b0110:led7s=7’b1111101;
4’b0111:led7s=7’b0000111;
4’b1000:led7s=7’b1111111;
4’b1001:led7s=7’b1101111;
4’b1010:led7s=7’b1110111;
4’b1011:led7s=7’b1111100;
4’b1100:led7s=7’b0111001;
4’b1101:led7s=7’b1011110;
4’b1110:led7s=7’b1111001;
4’b1111:led7s=7’b1110001;
endcase
end
endmodule

测试集:

上述就是关于verilog HDL对显示译码器中共阴数码管的实现。

如何用Verilog HDL设计显示译码器相关推荐

  1. Verilog HDL设计数字跑表数码管显示

    用Verilog HDL设计数字跑表&数码管显示   用Verilog HDL设计一个数字跑表,具有复位.暂停.秒表等功能,同时为了便于显示,百分秒.秒.分钟信号均采用BCD码计数方式,并直接 ...

  2. 移位寄存器专题(verilog HDL设计)

    目录 移位寄存器简介 分类 4位右移位寄存器工作原理 1. 16位右移位寄存器 2. 16位左移寄存器 3. 串行输入并行输出寄存器 4. 并行输入串行输出移位寄存器 移位寄存器简介 移位寄存器内的数 ...

  3. 为什么在 Verilog HDL 设计中一定要用同步而不能用异步时序逻辑?

    本博文内容来源于:<从算法设计到硬件逻辑的实现>,仅供学习交流使用! 同步时序逻辑是指表示状态的寄存器组的值只可能在唯一确定的触发条件发生时刻改变.只能由时钟的正跳沿或负跳沿触发的状态机就 ...

  4. FIR滤波器设计(包括Verilog HDL设计以及MATLAB设计)

    FIR滤波器设计 滤波器原理:滤波器就是对特定的频率或者特定频率以外的频率进行消除的电路,被广泛用于通信系统和信号处理系统中.从功能角度,数字滤波器对输入离散信号的数字代码进行运算处理,以达到滤除频带 ...

  5. 巴克码相关器的verilog HDL设计

    巴克码相关器 巴克码相关器原理:巴克码相关器能够检测巴克码序列峰值,并且能够在1bits错误情况下检测巴克码序列峰值. 巴克码是20世纪50年代初R.H巴克提出的一种具有特殊规律的二进制码组.它是一个 ...

  6. 序列信号产生器的verilog HDL 设计

    一.状态转移型的序列信号产生器的verilog HDL 设计 用一个不断循环的状态机,循环产生序列信号001011.过程过于简单,我就不画状态图了. 直接给出verilog HDL设计代码: //有限 ...

  7. Verilog HDL设计方法

    Verilog HDL设计方法 一.采用Verilog HDL设计复杂数字电路的优点 1.1.传统设计方法--电路原理图输入法 1.2.Verilog HDL的标准化与软核的重用 1.3.软核.固核和 ...

  8. 【FPGA】分频电路设计(Verilog HDL设计)(良心博文)

    目录 前言 分频器分类 偶分频 奇分频 占空比为50%的奇分频 占空比不限定的奇数分频器 前言 虽然在实际工程中要产生分频时钟一般采用FPGA的时钟管理器来进行分频.倍频,通过设置一下IP核中的参数即 ...

  9. 智力竞赛抢答器Verilog HDL设计

    设计任务 智力竞赛抢答器Verilog HDL要求有6位参赛者进行抢答,有六个抢答信号进行抢答.当有抢答选手按下抢答键后系统能够快速准确的判断是那一组选手按下了抢答信号,由于系统比较小,速度比较快一般 ...

最新文章

  1. AAAI2020 | SNERL:抛开mention级别的监督,实体链接、关系抽取我都行
  2. JVM:内存分配与回收策略?Full GC 的触发条件?StopTheWorld ?
  3. javascript正则表达式复习
  4. 空间闹钟-v1.6更新!
  5. Partition List 将链表分成两部分
  6. 教你如何打造网页爬虫工具(实现思路及源码下载)
  7. 江西不动产登记局今日挂牌
  8. 如何制作资产编号标签
  9. 网上书城源代码java_javaweb网上书城源码(包含数据库) 一个web课设——基于JSP的网上书城 - 下载 - 搜珍网...
  10. 王道书 P41 T19(循环单链表实现)
  11. LeetCode基本记录【2】// BASIC NOTES AND CODES OF LEETCODE [ 2 ]
  12. 计算机知识太多了记不住,背得滚瓜烂熟的知识点 为什么一上考场全忘了?这样做事半功倍...
  13. 第14周 预习实验与作业:Java数据库编程
  14. 【A113】网卡芯片Realtek RTL8201驱动调试
  15. 【go语言阻塞唤醒底层实现之sync_runtime_SemacquireMutex和runtime_Semrelease】
  16. 看故事,看懂新版FMEA!
  17. Unity3D角色换装实现原理及步骤
  18. 关于举办第二届边缘计算开发者大赛的通知
  19. 新塘系列linux_老司机带你入门新塘N76E003单片机
  20. 我的世界服务器物品栏不见,我的世界:物品展示框离不开玩家,距离过远就会凭空消失?...

热门文章

  1. android 10.0实现通过系统属性控制挂载otg设备功能
  2. php转化成小写,php怎么实现字符转小写
  3. MATLAB——建立多项式形式的传递函数及多项式系数提取
  4. AS I BEGAN TO LOVE MYSELF 当我开始爱自己 卓别林
  5. python创建person类用printinfo方法_python高级练习题代码
  6. mpeg2视频解码标准简介
  7. 玉洁哥的设计模式指摘
  8. 「中国龙形」无人机,最狂的无人机
  9. 使用Jtag Master 调试FPGA程序
  10. 什么是标准作业及ECRS标准工时软件的作用?如何定义标准作业及使用ECRS标准工时软件来设置作业标准?