内容:

交互式:仿真中断了或者停下来了去处理一些问题
后处理模式:先仿真——产生波形——保存下来——再打开
将波形文件在仿真的时候记录下来,之后再把波形文件打开查看一下。
vcd+文件:
对于大型的Soc项目来说,波形文件会非常大,打开会非常慢。
Dump波形:在testbench里面嵌入一些开关函数,执行编译仿真,仿真结束之后,才能得到一个波形文件。
一般在仿真过程中,人是不会去干预的。
在仿真之后,结合着波形文件和log文档,找出问题所在。
(需要设计人员和验证人员的配合。)

【后处理需要考虑的一些问题】

1、 速度:记录波形会严重影响速度
2、 可见性:波形给出的一些信息
3、 可追踪性:获得信息之后反推问题所在
4、 Usability:实用性,图形界面更加方便使用



一般来说在testbench里面嵌入一个函数:vcdpluson
可以加入一些选项,如果不带选项,默认把所有的波形文件都记录下来。
【vcdpluson的一些参数选项】

因为是一种层次化的设计,可以指定层数。
比如有A(B(C))这样一个层次,vcdpluson(2,A),记录A、B的波形如果写vcdpluson(2,A),记录A、B的波形 如果写vcdpluson(2,A),记录A、B的波形如果写vcdpluson(0,A)表示这个module的全都记录下来。
$vcdplusoff(module_instance ,…):终止记录
一般来讲,会记录模块级,不会记录一根线

【其它一些关于vcd+的系统函数】

vcdplusautoflushon:指示VCS当遇到中断情况(比如说vcdplusautoflushon:指示VCS当遇到中断情况(比如说vcdplusautoflushon:指示VCS当遇到中断情况(比如说stop系统函数)时,把它记录到文件里。
相应地有off

$vcdplushflush:手动指示VCS把内存里的结果写到VCD+文件中去

【还有一些用的不多的选项】

【使用系统函数的一些例子】

vcdpluson:把所有的波形都记录下来

注意readmemb
$readmemb(“”, )
把这个文件里的东西加载到这个数组里面去


在编译的时候会加入一些选项
vcdplus_switches :指示波形的一些选项

-debug_all包含了这些选项
默认的波形文件名叫vcdplus.vpd

【常见的一些开关选项】

-debug_all -debug_pp
+vpdfile+可以指定文件名(给vpd重新起个名字)
下面的用的不多

【Tips】

通过宏定义来注释掉dump的系统函数
在仿真的时候加入命令+define+来定义宏

【VCS的Makefile】


OUTPUT 默认产生simv可执行文件,起个名字
ALL_DEFINE 宏定义
CM:跟覆盖率相关的

VCS
+notimingcheck 不要做时序检查
+nospecify
+vcs+flush+all 控制读写文件的

一般是不会将数组文件记录下来的。
如何将数组的内容记录下来:
$vcdplusmemon();

关于readmemh:

把这个文件读进来,然后display

这个txt文件长这样:

两个非常重要的宏:

把打印这一句的对应的文件名(相对路径)和行号也打印出来

(在UVM里用的很多)

VCS逻辑仿真工具-03——后处理:VCD+文件相关推荐

  1. 数字IC设计 - 使用VCS逻辑仿真工具

    准备工作 新建一个文件夹,将需要编译仿真的代码放到该文件夹内,这里在linux环境下创建了相关文件夹,并将全加器代码编写导入. 全加器代码: module adder_full(dina,dinb,c ...

  2. vcd文件中存储多维数组 vcs_VCS课时4: 使用VCD文件进行后处理

    课程目标 原来是互动的过程,这一节课主要讲的是仿真平台完成任务,用DVE打开打开波形文件 查看波形文件 查看log文件 最早的是VCD文件,在这基础上推出的VCD+文件,文件比较大,读取慢 在仿真代码 ...

  3. 逻辑仿真工具VCS的使用-Makefile

    上一次讲了Gvim的基本操作,今天来讲讲用Gvim写出一个RTL codes后怎么用VCS仿真,还会结合Makefile做文件的自动化处理.之后还会出DC的使用教程,如果能完成这么一套流程的话,写在简 ...

  4. vcd文件中存储多维数组 vcs_IC设计——EDA软件篇——VCS使用

    1. 基础知识 运行机理 HDL仿真器编译代码的过程由编译,建模和仿真三个阶段(详情见该文第4大点:https://blog.csdn.net/qq_39815222/article/details/ ...

  5. VCS-数字逻辑仿真工具实践(听课记录)

    前言: 参考视频:仿真工具实践VCS视频教程 零.Verilog Simulation Event Oueue VCS对verilog模型进行仿真包括两个步骤: 编译verilog文件成为一个可执行的 ...

  6. matlab .vcd文件导入,DUMP VCD文件

    DUMP VCD文件 . 分类: 仿真工具 2011-02-22 11:49 106人阅读 评论(0) 收藏 举报 $dumpfile和$dumpvar是verilog语言中的两个系统任务,可以调用这 ...

  7. (95)FPGA仿真文件保存(VCD文件)

    (95)FPGA仿真文件保存(VCD文件) 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)FPGA仿真文件保存(VCD文件) 5)结语 1.2 FPGA简介 FPGA( ...

  8. 连锁门店收银系统源码之要货管理--进销存功能逻辑解析03

    连锁多门店收银系统源码之要货管理--进销存逻辑解析03 一,连锁多门店收银系统源码的要货管理综述 1,下级连锁门店(直营店或加盟店)在需要进货时,向总部操作"要货申请" 2,连锁总 ...

  9. SystemC_win可以编译和看波形,Vcdviewer可以看VCD文件的波形

    [转]使用VC6.0和ModelSim编译和仿真你的SystemC设计 发布时间:2010-11-23 12:52:24 技术类别:CPLD/FPGA     本文所有讨论基于Windows操作系统, ...

最新文章

  1. Java 日志体系详解
  2. 重磅丨国资委下发通知,加快推进国有企业数字化转型
  3. WinForm -- 为TextBox文本框添加鼠标右键菜单
  4. oxford5k和paris6k数据集介绍_sklearn函数:KFold(分割训练集和测试集)
  5. c语言扫描图片的坐标,tc 如何在指定坐标处 输出bmp图片??
  6. SAP CRM Archive Object的Maintenance view
  7. java实现apriori算法_用Java实现插入排序算法
  8. 这篇Cell里面的GSEA展示很不错!
  9. django表格_Django表格
  10. Fushsia:一次对操作系统的重构
  11. python教学ppt百度云_python教程ppt百度云盘-Python教程ppt课件类型的
  12. ​公司靠的住么 - 携程做四休三
  13. vue学习笔记二:HBuilder X框架搭建
  14. VSCode如何打开Interpreter
  15. HTML <style> 标签
  16. 微信公众号开发之文本回复(三)
  17. rstudio中logit模型代码
  18. 网页版php手机端怎么转wap页,用dw做的普通网页和wap网页,要怎样做才能让pc浏览器和手机浏览器自动识别并跳转呢?...
  19. IE6 IE7 并存
  20. 如何快速将AS2.0/AS3.0/fla/swf/ppt等课件产品转化为html5跨平台交互课件。

热门文章

  1. 【C++笔记】1. C语言复习
  2. 博物馆文创:打造“最后一个展厅”
  3. “我,985硕士,进万达月薪8K,我舍友去做互联网产品经理拿13K......”
  4. 忙等待锁和无忙等待锁
  5. Python学习笔记(十):注释
  6. 详解虚拟内存模型和malloc内部原理
  7. 未约定经济补偿的竞业禁止条款是否无效
  8. python 3.6 + robotFramework自动化框架 环境搭建、学习笔记
  9. 为什么要租用服务器,租用服务器有什么好处
  10. 战网显示服务器遇到了困难怎么办,战网客户端传输数据遇到了问题解决方法