【转】使用VC6.0和ModelSim编译和仿真你的SystemC设计 发布时间:2010-11-23 12:52:24
技术类别:CPLD/FPGA  
 
本文所有讨论基于Windows操作系统,不保证完全正确。
    SystemC在几十家大公司的支持下得到了长足的发展,它为我们进行系统级设计提供了一个新的选择,有这么多家大公司支持,SystemC必定会前途光明。本文讲述了如何使用使用VC60和ModelSim编译和仿真SystemC设计。
      首先使用VC60编辑好你的设计,新建项目时选择C++ Console Application。
      编辑好后,首先编译SystemC.lib库,你可以从Systemc.org下载到核心库代码。
      编译好后选择Project->settings菜单,切换到C/C++面(tab),在Category中选择C++ Language,选定Enable run time tye information项。然后再在Category中选择Preprocessor,在Addition Include Directories中指定一个源文件目录,这个目录就是SystemC.h所在的那个目录比如你将下载的\systemc-2.0.1核心库放在D:\chenxi\systemc\sc20lib,那么你应该指定D:\chenxi\systemc\sc20lib\systemc-2.0.1\src。
      然后再将编译好的systemc.lib包括到你的设计中。
      这时你就可以编译你的SystemC设计了,如果还通不过,那就是你的设计有问题了,你需要认真修改你的设计直到编译通过。
      项目编译好后会生成project_name.exe,执行之。为了能够看波形,你当然应该指定了生成一个VCD或者WLF或者ISDB波形文件。
      注意:WIF:波形中间格式;WLF:波形日志文件。由于在ModelSim下只能打开WLF文件,所以推荐的ModelSim下看波形的办法是:
      首先使用命令vcd2wlf 将VCD文件转化为WLF文件。ModelSim命令为:
                                                               vcd2wlf <source.vcd> <target wlf>
        如果你的设计不好,有可能这一步失败,这时你就需要检查和修改你的源文件了,运行时间错误是很多的,也是很难定位的。
        假设你已经生成了wlf文件,然后你需要在wave窗口下选择Open dataset菜单,将生成的wlf文件导入进来。这时你将在structure窗口中看到设计SystemC:,在signal窗口中选择所要看的信号放到wave窗口中你就可以看到波形了。
       使用ModelSim看波形的好处是可以随意截取和打印波形到你的文档中,当然你需要装postscript工具如Acrobat distiller。
        另外有一些免费的工具如SystemC_win可以编译和看波形,Vcdviewer可以看VCD文件的波形。
标签:  
       有[ 0 ]名读者喜欢此文 阅读(670)   评论(0)   收藏  I   打印  I   推荐到我的小组  I   转发到我的博客 (0)

上一篇:【转】在Modelsim se中创建altera仿真库 
下一篇:【转】使用compxlib命令编译Xilinx的ModelSim仿真库

SystemC_win可以编译和看波形,Vcdviewer可以看VCD文件的波形相关推荐

  1. 波形发生器设计c语言文件,超低频波形发生器的设计论文(C语言编程) .doc

    超低频波形发生器的设计论文(C语言编程) .doc 摘 要 在科学研究.工程教育及生产实践中,常常需要用到低频信号发生器.本文主要介绍一种以单片机为核心超低频任意函信号发生器.该信号发生器...采用数 ...

  2. vcd文件中存储多维数组 vcs_怎样在Modelsim软件中产生一个.vcd文件并且显示波形?...

    解决方案ID: rd07062010_692 最后修改: 2012 年9 月11 日 产品类别: 设计软件 产品领域: 仿真/一致性验证 产品子领域: ModelSim-Altera(仿真/一致性验证 ...

  3. comtrade文件C语言,生成的comtrade.dat文件有关问题,波形分析软件打开看点是乱的...

    生成的comtrade.dat文件问题,波形分析软件打开看点是乱的? 将连续的采样数据写成comtrade文件,数据类型是short int ,只有1个通道的数据,保证数据都没有问题(从波形看是正弦的 ...

  4. Java多态之“编译看左边,运行看右边”

    一.问题 当我们使用经典多态写法 Father f=new Son()时,如果子类和父类存在同名的成员变量和静态变量时,是什么情况呢? class Animal{static String name ...

  5. C++ : 编译单元、声明和定义、头文件作用、防止头文件在同一个编译单元重复引用、static和不具名空间...

    转 自:http://www.cnblogs.com/rocketfan/archive/2009/10/02/1577361.html 1. 编译单元:一个.cc或.cpp文件作为一个编译单元,生成 ...

  6. 串口数据波形显示_【专题教程第6期】SEGGER的J-Scope波形上位机软件,RTT模式波形上传可飙到500KB/S...

    [专题教程第6期]SEGGER的J-Scope波形上位机软件,RTT模式波形上传速度可狂飙到500KB/S左右 说明: 1.在实际项目中,很多时候,我们需要将传感器或者ADC的数值以波形的形式显示.通 ...

  7. pyqt5:python读取二进制文件(音频PCM文件)显示波形

    文章目录 1.使用ffmpeg生成PCM文件 1.1 用 ffprobe 查看文件信息 1.2 用 ffmpeg 命令转换 1.3 用ffplay 测试播放PCM文件 2.python读取PCM文件显 ...

  8. ARM(IMX6U)裸机汇编LED驱动实验——驱动编写、编译链接起始地址、烧写bin文件到SD卡中并运行

    参考:Linux之ARM(IMX6U)裸机汇编LED驱动实验–驱动编写 作者:一只青木呀 发布时间: 2020-08-07 09:13:48 网址:https://blog.csdn.net/weix ...

  9. Windows编译开源代码方法之关于lame.exe文件的测试及过程

    Windows编译开源代码方法之关于lame.exe文件的测试及过程 最终结果(PS:使用markdown不熟悉,记得在井号后面加个空格才能触发) 起因:foobar2000在格式转换中需要lame. ...

最新文章

  1. apache camel_探索Apache Camel Core –文件组件
  2. android动态开发,android开发实现动态壁纸
  3. python3 unicodeencodeerror_解决 Python3 下 print 时出现 UnicodeEncodeError 的问题
  4. 文本框样式 html,HTML文本框样式大全
  5. java 对象转json忽略某个属性
  6. Unix网络编程学习笔记
  7. ASPUPload3.0注册机
  8. VMWare安装Win10虚拟机详细教程
  9. 利用Python制作王者战力查询以及皮肤查看软件
  10. 计算机模拟地球爆炸,地球爆炸模拟器
  11. 2021年前端部署的灵魂拷问
  12. 如何查看系统开关机时间
  13. Joel Spolsky对计算机学生的建议
  14. MySQL学习笔记_03
  15. 什么是数据产品,怎么设计一个好用的数据产品
  16. 薪水支付系统领域驱动设计实践
  17. 瓜子二手车后台研发实习生面经
  18. auto.js蚂蚁森林收能量
  19. React基础知识 [ 新手上路学这一篇就够了 ]
  20. gorm快捷查询手册

热门文章

  1. SAN交换机Zone配置详解及最佳实践
  2. DCT-Mask运行问题记录
  3. 【考后须知】2021上半年软考成绩查询时间、合格标准、查询方法! ​
  4. 百度搜索框怎么用HTML做,百度搜索框的基本实现
  5. 什么是目标检测--Object Detection
  6. macOS效率操作入门,浅Option键妙用
  7. 计算机组成原理——计算机系统的层次结构
  8. 《Java黑皮书基础篇第10版》 第9章【笔记】
  9. [论文阅读笔记53]2021深度神经方法的关系三元组抽取综述
  10. Cy5-PEG-Biotin的分子量均可定制,花青素Cy5-聚乙二醇-生物素