赛元微SC92F7352 PWM呼吸灯应用


单片机脚位图

#include <SC92F735X_C.H>
#include "intrins.h"
#define uchar unsigned char
#define uint unsigned int
#define ulong unsigned longsbit    LED_R = P0^0;
sbit    LED_G = P0^1;
sbit    LED_B = P0^2;unsigned char i=0;
bit flag = 0;/*****************************************************
*函数名称:void IO_Init(void)
*函数功能:IO初始化
*入口参数:void
*出口参数:void
*****************************************************/
void IO_Init(void)
{P0CON = 0xFF;  //设置P0为强推挽模式P0PH  = 0x00;
}/*****************************************************
*函数名称:void PWM_Init(void)
*函数功能:PWM初始化
*入口参数:void
*出口参数:void
*****************************************************/
void PWM_Init(void)
{PWMCON  = 0x38;   //PWM0\PWM1\PWM2输出到I/O,Fsys频率;PWMCFG0 = 0x00;        //PWM0\PWM1\PWM2不反向,PWM3\PWM4\PWM5输出到I/OPWMPRD  = 999;      //PWM周期=(99+1)    PWMDTY0 = 0;   PWMDTY1 = 0;       PWMDTY2 = 0;   //PWM2的Duty = 50/100  =5/10PWMCON |= 0x80;       //启动PWM工作
}/*****************************************************
*函数名称:void Delay()
*函数功能:延时函数
*入口参数:void
*出口参数:void
*****************************************************/void Delay(uchar z)
{ uint x,y;for(x=0;x<5;x++)for(y=0;y<z;y++);
}/*****************************************************
*函数名称:void main(void)
*函数功能:main函数
*入口参数:void
*出口参数:void
*****************************************************/
void main(void)
{IO_Init();PWM_Init();IE1 |=0X02;                 EA=1;                       while(1){if(flag==0){i++;PWMDTY0 = i; Delay(1000) ;                    PWMDTY1 = i-100; Delay(1000) ;PWMDTY2 = i+50;  Delay(2000) ;if(i==255)flag = 1;                                }if(flag){i--;PWMDTY0 = i;  Delay(1000) ;PWMDTY1 = i-50;Delay(1000) ;PWMDTY2 = i+100; Delay(2000) ;if(i==0)flag = 0;                        }        }
}

赛元微SC92F7352 PWM呼吸灯应用相关推荐

  1. LabVIEW控制Arduino实现PWM呼吸灯(基础篇—5)

    目录 1.实验目的 2.实验环境 3.程序设计 4.实验演示 1.实验目的 利用LIAT中的模拟I/O函数库,通过LabVIEW和Arduino Uno控制板实现LED灯亮度的调节,产生灯会呼吸的效果 ...

  2. STM32F030C8T6单片机PWM呼吸灯寄存器配置

    STM32F030C8T6单片机PWM呼吸灯寄存器配置: TIM3_CR1:控制寄存器 BIT8~BIT9:CKD 与外部输入时钟有关,不使用外部时钟输入,所以不操作BIT8~BIT9,设置为00 B ...

  3. FPGA项目三:PWM呼吸灯

    文章目录 第一节 项目背景 第二节 设计目标 第三节 设计实现 3.1顶层设计 3.2 信号设计 3.3 信号定义 第四节 综合和上板 4.1 新建工程 4.2 综合 4.3 配置管脚 4.4 再次综 ...

  4. 赛元微MCU——SC92F846xB新建keil基本工程

    文章目录 @[toc] 一.准备工具: 二.新建基本工程详细步骤 三.配置调试工具选项 一.准备工具: keil软件 文中使用keil5_C51_V960A,最新版官网下载地址:https://www ...

  5. 基于FPGA开发板使用Verilog设计PWM呼吸灯实验

    基于FPGA开发板使用Verilog设计PWM呼吸灯实验 1,实验原理 2,实验模块设计 2.1 RTL设计,呼吸灯模块设计 2.2,测试数据,下载到FPGA开发板板级的数据 2.3,两个模块综合的n ...

  6. 赛元微 SC92F8003 UART0收发数据

    赛元微 SC92F8003 UART0收发数据 使用示范 #include "sc92f8003_uart0.h" #include "sc92f8003_gpio.h& ...

  7. STM32 CubeMx(二)定时器中断和PWM呼吸灯

    STM32 CubeMx(一)时钟树配置与GPIO输入输出 文章目录 1.定时器 1.1 定时器概念 1.2 定时器分类 1.3 定时器功能 1.4 配置过程和编写代码 1.5 代码分享 2.PWM产 ...

  8. 使用赛元单片机“sc92f742x”实现LED灯的呼吸效果

    #include "sc92f742x_pwm.h" #include "sc92f742x_gpio.h"uint8_t code BrightAdjust[ ...

  9. 【Verilog HDL 训练】第 10 天(PWM 呼吸灯)

    5月8日 PWM 用verilog实现PWM控制呼吸灯.呼吸周期2秒:1秒逐渐变亮,1秒逐渐变暗.系统时钟24MHz,pwm周期1ms,精度1us. 今天的题目我是第一次见,答案借鉴大神的:Veril ...

最新文章

  1. 如何在centos7上网卡名称回归传统
  2. python打包成exe_Python打包文件为exe,PyInstaller应用
  3. I.MX6 WIFI wireless_tools 移植
  4. PYPL 4 月排行:Python 最流行,Java 还行不行?
  5. 数据契约(DataContract)
  6. 底量超顶量超级大黑马指标源码_一旦出现底量超顶量形态,是超级大黑马诞生!...
  7. code第一部分:数组
  8. spring-mvc.xml与spring-mybatis.xml配置文件中命名空间问题
  9. 2天玩转单反相机引领快乐光影新生活
  10. 1159 最大全0子矩阵
  11. 计算机组成原理-计算机硬件的基本组成
  12. wallys/IPQ8074a/2x(4×4 or 8×8) 11AX MU-MIMO DUAL CONCURRENT EMBEDDEDBOARD
  13. Markdown 数学符号大全
  14. LeetCode 打家劫舍题型 解析
  15. 互联网巨头的2B市场变革
  16. 以全局产业观领航智慧城市建设
  17. MATLAB数学建模-规划模型总结| MATLAB求解
  18. FDM打印机使用总结(三)
  19. 进程间各种通信方式的C++实现
  20. [Windows] Flash.Player|AX|PP|32.0.0.142 去广告 不跳更新版

热门文章

  1. Java 字节数组和字符串的相互转化
  2. OCR助手-免费OCR工具
  3. 2021/04/25 SpringBoot + SpringCloud微服务项⽬交付案例
  4. vivo x7安卓7.1降5.1降级包,以及救砖包
  5. bootstrap项目实例_Vue.js 项目实践——创建记忆卡片游戏
  6. 临沂鸿蒙文化城在哪,在桑干河南舜都与鸿蒙寺遗址上
  7. Tensorflow版yolov3训练自己的数据
  8. linux常见命令 翻页,linux命令基础(二)
  9. 2018年影响MAC-OS的恶意软件(含APT)汇总报告
  10. 宿命,别无选择;看《大话西游》有感