STM32F030C8T6单片机PWM呼吸灯寄存器配置:

TIM3_CR1:控制寄存器

BIT8~BIT9:CKD

与外部输入时钟有关,不使用外部时钟输入,所以不操作BIT8~BIT9,设置为00

BIT7:ARPE

预装使能,置1有缓冲器,置0无缓冲器。

BIT6:CMS:

默认边沿对齐模式,使用边沿对齐模式,默认值00

BIT5:DIR:

计数器的计数方向,默认0,表示向上计数。

BIT4:OPM

单脉冲模式使能,默认0,默认不使能单脉冲模式。

BIT3:URS

更新请求源,默认不实用请求源,这里不需要产生中断或DMA事件,设置为默认值0

BIT2:UDIS

禁止更新位,需要连续PWM信号,所以重复更新事件产生,置0

BIT1:CEN

使能计数,在单脉冲模式下,CEN位会自动清除

总结:TIM3_CR1寄存器中ARPE=1,CEN=1

TIM3_CCMR2 捕捉比较模式寄存器2

BIT7:OC3CE:

输出比较清除允许,不需要清除输出比较,默认为0

BIT4~BIT6:OC3M

设置工作模式,设置为110,设置为PWM模式1,CNTCCR,输出低,

BIT3:OC3PE

输出预装使能,置1,对CCR的读写操作,仅对预装寄存器操作,当更新事件来的时候才送到影子寄存器中

BIT2:OC3FE:

输出比较快速使能,置1,可以加快输出对触发器对输入事件的快速响应,这里设置为0

BIT0~BIT1:CC3S

配置输入输出放向,默认00就是输出方向。

总结:TIM3_CCMR2寄存器中OC3M =110 OC3PE = 1

TIM3_CCER :捕捉比较使能寄存器

BIT11:CCNP

定时器3通道3配置为输出,默认是0。

BIT9:CC3P

设置比较器输出极性,0为高有效,1为低有效,这里设置0为高有效

BIT8:CC3E

使能比较输出,设置为1,开启使能输出

TIM3_CNT :表示定时器的计数器值(十六位数)

定时器开启时,这个寄存器的值一直在递增。初始化时,初始化为0。

TIM3_PSC:预分频寄存器 有影子寄存器

这里设置为0,不使用预分频寄存器,不分频。

TIM3_ARR:自动加载寄存器 有影子寄存器

用来设置PWM的周期长度。这里设置为7999,为1毫秒长度的周期。

TIM3_CCR3:捕捉比较寄存器3

用来设置PWM的占空比

总结:

设置TIM3_CH3产生PWM

1、设置GPIOB时钟使能

RCC_AHBENR |= 0X00040000

GPIOB_AFRL =0X00000001 //GPIOx_AFRL查规格书

2、设置PB0复用功能

GPIOB_MODER = 0X00000002

3、设置TIM3时钟使能

RCC_AHB1ENR |= 0X00000002

4、CCMR2

5、ARR =7999

6、CCER

7、CNT=0

8、CR1

STM32F030C8T6单片机PWM呼吸灯寄存器配置相关推荐

  1. FPGA项目三:PWM呼吸灯

    文章目录 第一节 项目背景 第二节 设计目标 第三节 设计实现 3.1顶层设计 3.2 信号设计 3.3 信号定义 第四节 综合和上板 4.1 新建工程 4.2 综合 4.3 配置管脚 4.4 再次综 ...

  2. keil5生成一个单片机led呼吸灯程序

    对于生成单片机 LED 呼吸灯程序,您可以以下这样做: 打开 Keil 5 软件,创建一个新项目. 选择您使用的单片机类型和板子,按照向导配置项目. 在程序代码中包含所需的头文件和定义. 使用 for ...

  3. STM32 CubeMx(二)定时器中断和PWM呼吸灯

    STM32 CubeMx(一)时钟树配置与GPIO输入输出 文章目录 1.定时器 1.1 定时器概念 1.2 定时器分类 1.3 定时器功能 1.4 配置过程和编写代码 1.5 代码分享 2.PWM产 ...

  4. LabVIEW控制Arduino实现PWM呼吸灯(基础篇—5)

    目录 1.实验目的 2.实验环境 3.程序设计 4.实验演示 1.实验目的 利用LIAT中的模拟I/O函数库,通过LabVIEW和Arduino Uno控制板实现LED灯亮度的调节,产生灯会呼吸的效果 ...

  5. 赛元微SC92F7352 PWM呼吸灯应用

    赛元微SC92F7352 PWM呼吸灯应用 单片机脚位图 #include <SC92F735X_C.H> #include "intrins.h" #define u ...

  6. 基于FPGA开发板使用Verilog设计PWM呼吸灯实验

    基于FPGA开发板使用Verilog设计PWM呼吸灯实验 1,实验原理 2,实验模块设计 2.1 RTL设计,呼吸灯模块设计 2.2,测试数据,下载到FPGA开发板板级的数据 2.3,两个模块综合的n ...

  7. 51单片机 PWM LED灯亮度调节+Proteus仿真

    51单片机 PWM LED灯亮度调节+Proteus仿真 Proteus仿真 实例代码 #include "reg51.h" unsigned int cd,dd; unsigne ...

  8. stm32定时器输出pwmIO口模拟pwm——呼吸灯

    文章目录 前言 一.pwm(脉冲宽度调制) 1.基本原理 2.PWM的优点 3.PWM波的控制方法 二.定时器的相关介绍 1.stm32定时器 2.通用定时器计数模式 3.定时器的基本工作原理 三.定 ...

  9. 单片机开发—呼吸灯的三种实现方法

    目录 一.前言 1.什么是呼吸灯 2.如何实现呼吸灯 二.利用for循环实现呼吸灯 三.利用定时器实现呼吸灯 1.利用定时器中断实现 2.利用定时器输出PWM波实现 四.总结 一.前言 提示:本文使用 ...

最新文章

  1. Centos 6.3 修改xorg.conf后 启动失败
  2. 关于Lambda和匿名内部类
  3. 自定义添加删除行按钮
  4. 洛谷 - P1217 - 回文质数 - 枚举
  5. 记录一次生产发布事件——(简单的非空验证也能引发大问题)
  6. 醒醒吧!送给那些盲目自学的人
  7. 采购季:云服务不断进化为企业带来更多选择
  8. ArcGIS道路网拓扑检查
  9. 简述变分法在泛函极值问题中的应用
  10. c#模拟看板控件_C#TIPTOP电子看板
  11. C语言之对 0, ‘0‘ , \0 以及 “0“ 的理解
  12. 计算机软件方法专利撰写,干货 | 计算机软件专利撰写模板
  13. Galaxy S III是史上最强的Android手机?
  14. BZOJ1006神奇的国度
  15. [Linux] Bash中大括号和小括号的使用
  16. 视频监控方面部分基础知识
  17. linux新建挂载目录命令,告诉你Ubuntu添加新分区并设置挂载点的方法及命令
  18. js返回一年中所有的自然周
  19. 使用kprobe监控linux内核提权(cred方法)
  20. 关于弱监督学习的详细介绍——A Brief Introduction to Weakly Supervised Learning

热门文章

  1. 北华大学计算机科学技术学院宿舍,【图片】【2017新生咨询专贴】学校寝室介绍|学习生活贴士【北华大学吧】_百度贴吧...
  2. mysql跨库分页查询_跨库跨表分页
  3. 《地理信息系统导论》chapter 18 GIS模型与建模
  4. PowerShell命令行一键快速修改windows远程桌面端口号
  5. linux配置dhcp服务
  6. java xmx4096m_为什么设置了heap最大内存为4096之后,只用了2048就内存溢出
  7. 济宁市计算机技能大赛,技能大赛做“试金石”,济宁市技师学院培养一流人才...
  8. 史上最强像素画教程「Pixel Art」像素画入门教学本
  9. 上海区块链会议演讲ppt_演讲和会议的软件开发人员指南
  10. elementUI表格样式自定义修改