文末附交通灯仿真程序,请自取。

目录

项目内容与要求

设计目的

设计要求

项目方案或原理设计

项目方案

原理设计

原理分析

仿真及结果分析(附仿真电路以及结果图片)

结论与体会


项目内容与要求

设计目的:

(1)设计一个交通信号灯控制器;

(2)掌握数字电路设计与调试方法,熟悉相应集成电路的使用方法。

设计要求:

(1)用3个发光二极管分别代替红、绿、黄三盏交通信号灯;用逻辑开关作检测车辆是否到来的信号。

(2)假设主干道较支干道繁忙,主干道处于常允许通行状态(亮绿灯),当支干道有车来时才允许支干道车辆通行。当主干道允许通行亮绿灯时,支干道亮红灯;反之当支干道允许通行亮绿灯时,主干道亮红灯。

(3)当主干道和支干道都有车时,两者交替允许通行。主干道每次允许通行30s,支干道每次允许通行15s,分别设计30s和15s计时显示电路。

(4)在每次由亮绿灯转为亮红灯的转换过程中,要求先亮3s的黄灯作为过渡,设计3s计时显示电路。

  • 项目方案或原理设计

  1. 项目方案

首先由74LS160设定交通灯的红绿黄三个状态,通过74LS138译码器进行初步翻译,将其翻译后的输出通过逻辑变换传输给74LS192的输入端,74LS192进行递减计数,输出给数码管以显示交通灯的倒计时状态。主干道和支干道使用同一个方波发生器,以保证主支干道计时的同步。设置一个重置的开关,在交通灯工作开始之前,使用该开关初始化所有数据。

  1. 原理设计

芯片简介:

74LS160 芯片是同步十进制计数器(直接清零),其引脚功能表如图1。

图 1

74LS138 为3线-8线译码器,其引脚功能表如图2。

图 2

74LS192是双时钟方式的十进制可逆计数器。其引脚功能表如图3、图4。

图 3

图 4

原理分析

每个干道使用两片74LS192控制两位数码管分别显示时间的十位与个位。显示个位的数码管在每次倒计至零的时候,会输出借位信号,将借位信号输送至显示十位的数码管的减计时时钟输入端,相当于给它一个脉冲,让其进行一次减1计数,这便实现了两位数的倒计时。当两片192都输出借位信号(低电平有效),说明计数已经到0,将两片192的借位信号(低电平有效)通过或门输送给160的时钟信号输入端,160切换状态(共红绿黄三个状态),同时将两片192的借位信号通过传输门再输送至两片192的置数端(传输门起延迟作用,保证在192置数之前,160的新一轮状态已传输至192的置数输入端),通过置数使其进入新一轮的计时。

160输出接与非门:在160计数至0011时,11通过与非门将信号0输回160清零端实现清零,从而使得160只有0000、0001、0010三个状态,分别对应红(18s)绿(30s)黄(3s)三个状态(支干道是绿(15s)黄(3s)红(33s))。

160的时钟信号输入前接异或门:异或门的一端接总开关,另一端接两片192借位信号经或门的输出,当192借位信号不为0(192输出为0时,借位信号便为0),或门输出为1,此时若打开总开关(从Vcc到接地),异或门输出一个上升沿,且置数端接地,此时将预设的值置到输出端(主干道预设的是0001,即绿灯;支干道预设的是0010,即红灯)。总开关断开的同时,脉冲输入也断开,使主支干道停止计数,从而实现常绿和长红的状态。

主干道的160到138的输出至192的状态转换如表1。

表 1

支干道的160到138的输出至192的状态转换如表2。
表 2

  • 仿真及结果分析(附仿真电路以及结果图片)

  • 支干道无车时,主干道绿灯常亮(总开关接地)如图5:

 图 5

  • 支干道来车时,主干道开始倒计时,结束绿灯常亮状态,然后主支干道交替允许通行(总开关接Vcc)如图6:

 图 6

功能简介:断开总开关时(接地),相当于支干道无车,主干道绿灯常亮。当支干道来车时,闭合总开关,主干道的绿灯和支干道的红灯开始倒计时,之后主干道和支干道交替允许通行。

仿真源文件:链接:https://pan.baidu.com/s/1H7-u1v1gow9oLEuEmaCEwQ 
提取码:6ypi

  • 结论与体会

因为一开始并没有完全弄清楚全部芯片各引脚的功能(比如160芯片的置数端须在低电平和脉冲到来的时候才能实现,而192芯片的置数只需低电平便可实现置数),导致出现了很多问题;还有因为192置数和160状态切换是同时进行的,有时会出现置数错误的情况,最后通过给置数信号加了一个传输门进行延迟成功解决了问题。这次仿真虽然在连线、调试的过程中非常乏味,有时遇到bug还需要检查很久才能找出问题所在,但最后把功能通过仿真软件实现之后瞬间,便特别兴奋和满满的成就感。

Multisim交通信号灯简易控制器相关推荐

  1. 智能城市dqn算法交通信号灯调度_交通信号灯毕设论文(A).doc

    交通信号灯毕设论文(A) XX大学毕业设计 题 目: 指导教师: 职 称: 学生姓名: 学 号: 专 业: 院 (系): 完成时间: 年月日 摘要: 关键词: Abstract: Keywords: ...

  2. proteus实现简易交通信号灯控制

    1.实验要求 使用Grove中3个LED模块(红.黄.绿)和4位LED数码管,设计一个简易的倒计时的交通信号灯控制电路. 2.原理图设计 1)原理图截图 2)引脚选择 红色LED灯使用端口D5,绿色L ...

  3. c语言信号灯作用,交通信号灯对交通领域的作用与影响

    原标题:交通信号灯对交通领域的作用与影响 现有的道路交通基础设施提供的有限资源导致车主在道路上的时间不断增加,而智慧交通信号灯的项目就是为了减少城市交通系统中用为等待红灯而浪费的时间.一个城市的交通受 ...

  4. verilog语言实现FPGA板的交通信号灯

    数字电子技术课程设计报告 设计题目: 交通信号灯 目录 一.概述 二.任务书(任务功能介绍) 三.系统设计 1.基本原理 2.系统设计框图 四.各单元设计(Verilog源代码及仿真图) 五.总体电路 ...

  5. 交通信号灯课程设计(红绿灯)

    一.设计指标 1.1对设计课题进行简要阐述        由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全.迅速地通行,在交叉路口的每个人口处设置了红.绿.黄三色信号灯.        ...

  6. 用c语言交通信号灯论文,plc交通信号灯毕业论文.doc

    毕业论文(设计) 题 目: 基于plc的交通信号灯模拟控制系统的设计 系 别: 装备制造系 专 业: 机电一体化技术 学生姓名: 王伟杰 学 号: 年级: 2013级 指导教师: 田淑娟 职称: 讲师 ...

  7. java交通信号灯毕业论文范文_信号灯设计论文,关于基于FPGA的交通信号灯控制系统设计相关参考文献资料-免费论文范文...

    导读:本文关于信号灯设计论文范文,可以做为相关参考文献. 文/ 胡桂戎 陕西警官职业学院 陕西 西安 710021 [摘 要]本文采用FPGA 设计,结合了道路传感器,设计了交通信号灯全感应自适应的控 ...

  8. 交通信号灯控制系统的Verilog实现

    交通信号灯控制系统的Verilog实现 作为数字系统设计入门案例,下面简单介绍最简单的交通控制系统,说明设计思路. 首先给出要求:设计一个主干道和支干道十字路口的交通信号灯控制电路 (1)一般情况下保 ...

  9. 基于FPGA的交通信号灯设计

    1.1 设计目的 1.2 设计任务 1.模拟十字路口交通信号灯的工作过程,利用交通信号灯上的两组红,黄,绿LED发光二极管作为交通信号灯,设计一个交通信号灯控制器. 2.模拟两条公路,一条交通主干道, ...

最新文章

  1. 学python能赚什么外卖-python实现外卖信息管理系统
  2. 黑客还是间谍?让你惊出一身冷汗的10个社会工程学黑客攻击手段
  3. 90 % 的 Python 开发者不知道的描述符应用
  4. 小程序确定取消弹窗_小程序开发之弹出框
  5. MySql主主(主从)同步配置详解
  6. Python中可变长度的参数args和**kwargs
  7. python 干什么工作具有明显优势-Python到底能做什么?它的优点在哪
  8. X4-技术与工作报告
  9. Android库和项目收集-图片
  10. 台式计算机前面插耳机没声音,Win10台式机机箱前置耳机插孔没声音如何修复
  11. win10防火墙_教你一招,在Win10上设置允许应用通过Windows防火墙,非常简单
  12. python中怎么统计英文字符的个数_【Python练习1】统计一串字符中英文字母、空格、数字和其他字符的个数...
  13. 【数据结构】无向图与有向图的连通性及相关算法
  14. git repo 入门 简介
  15. 微信 mac 版 3.* beta 可以查看朋友圈了
  16. 广大网友们快来帮帮弱小的我把!!!!Eclipse做web项目删除用户,如果第一次用户id错误,则再输入就会出现404错误( 已解决!!!!)
  17. yolov5 人群计数及阀值报警
  18. C语言数据结构之树超全详解
  19. 谈谈我的一些学习感悟
  20. 小白经济学(一)—经济学是什么

热门文章

  1. 年度最火购车方式网上购车平台购车,强势来袭!
  2. 智慧城市的发展过程中,最需要的15个工作岗位
  3. 以下可用作c语言中的字符常量是,C语言-第3章简单的C程序设计 练习题.doc
  4. 基于FPGA的数字电子密码锁Verilog开发Modelsim仿真
  5. 春运火车票开抢,最强攻略请注意查收
  6. 美团拼多多挑起社区团购新战事,谁能“见血封喉”?
  7. 每日一句:day06——From Zero To Hero
  8. 《Linux基础三》用户和文件权限管理
  9. App can't be opened because it is from an unidentified developer
  10. Mathematica绘制动态蔓叶线