Verilog实现38译码器

// An highlighted block
var foo = 'bar';module decode_38(date_in, date_out);input [2:0] date_in;        //date_in[2],date_in[1],date_in[0]output reg [7:0] date_out; //行为建模:组合逻辑电路always @ (*)    begincase(date_in)                 //case语句:括号为输入的条件3'd0     : date_out = 8'b0000_0001;3'd1     : date_out = 8'b0000_0010;3'd2     : date_out = 8'b0000_0100;3'd3     : date_out = 8'b0000_1000;3'd4     : date_out = 8'b0001_0000;3'd5     : date_out = 8'b0010_0000;3'd6     : date_out = 8'b0100_0000;3'd7     : date_out = 8'b1000_0000;endcaseendendmodule
// An highlighted block
var foo = 'bar';
`timescale 1ns/1ps
module decode_38_tb;reg [2:0] date_in;        //date_in[2],date_in[1],date_in[0]wire[7:0] date_out;decode_38 decode_38_inst(.date_in(date_in), .date_out(date_out));//portinitial begindate_in = 3'b000;#200  date_in = 3'b001;#200  date_in = 3'b010;#200  date_in = 3'b011;#200  date_in = 3'b100;#200  date_in = 3'b101;#200  date_in = 3'b110;#200  date_in = 3'b111;end
endmodule

Verilog实现38译码器相关推荐

  1. 【Verilog】 3-8译码器

    功能: 实现3-8译码 Verilog代码: //行为级设计 `timescale 1ns / 1psmodule decoder3_8_01(en, addr, y);input [2:0] en, ...

  2. (147)Verilog编程:使用3-8译码器实现全减器

    (147)Verilog编程:使用3-8译码器实现全减器 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Verilog编程:使用3-8译码器实现全减器: 5)结束语. ...

  3. Verilog快速入门(13)—— 用3-8译码器实现全减器

    Verilog快速入门 (1) 四选一多路器 (2)异步复位的串联T触发器 (3)奇偶校验 (4)移位运算与乘法 (5)位拆分与运算 (6)使用子模块实现三输入数的大小比较 (7)4位数值比较器电路 ...

  4. 记录使用Spartan-6 FPGA进行一次3-8译码器实验

    之前仔细的记录过一次PWM蜂鸣器的实验,见博文:全过程实现一个最简单的FPGA项目之PWM蜂鸣器控制,这里就不再仔细的写下全过程了,因为都是一样的过程,只记录一下做这个小实验的思路即可. 3-8译码器 ...

  5. 学习笔记——3-8译码器实例(FPGA)

    一.3-8译码器简介 1.简介 译码是编码的逆过程.其功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器.如果有n个二进制选择线,则最多可译码转换成2n个数据. ...

  6. fpga组合逻辑(4位比较器、8-3优先编码器、38译码器实现全减器、数据选择器实现逻辑函数等)

    目录 组合逻辑 VL11 4位数值比较器电路 VL12 4bit超前进位加法器电路 VL13 优先编码器电路① VL14 用优先编码器①实现键盘编码电路 VL15 优先编码器Ⅰ VL16 使用8线-3 ...

  7. 2-2 Verilog 7 段译码器(静态显示)

    使用工具:Xilinx ISE 14.7 7段译码器主要是由七段译码管组成,通过控制各个译码管的开与关达到显示出16进制数的16个数.实现过程和3-8译码器差不多,主要是构建一个真值表作为map,然后 ...

  8. 38译码器数码管c语言代码,38译码器驱动数码管电路图

    使用38译码器来驱动数码管来节省IO端口 (1)什么是38译码器? 38译码器有3个输入端口A.B.C和8个输出端口Y0-Y7.由输入端口控制输出端口的值 (2)为什么要使用38译码器 回想之前的驱动 ...

  9. 3-8 译码器设计实验--VHDL

    一.实验目的 (1)学习并掌握Quartus II的使用方法 (2)学习使用原理图方法进行逻辑设计输入,并初步了解可编程器件设计的全过程 (3)熟悉ALTERA公司EDA设计工具软件Quartusll ...

  10. 牛客刷题<19>使用3-8译码器实现逻辑函数

    题目:使用3-8译码器①实现逻辑函数_牛客题霸_牛客网 思路:此方法可适合于任何逻辑函数,需要将L=(~A)·C+A·B 逻辑式转换为最小项的形式. 实现逻辑表达式 38译码器的输出实际上包含了输入A ...

最新文章

  1. 如何在Mac上的IntelliJ IDEA中增加IDE内存限制?
  2. (继承)virtual与访问控制
  3. 开源 java CMS - FreeCMS2.4 菜单管理
  4. docker mysql 日志_docker中将MySQL运行在容器中失败提示“ InnoDB : Error 22 with aio_write”的解决办法...
  5. CentOS 7时间命令timedatectl
  6. 公众平台模板消息所在行业_微信公众号模板消息功能怎么快速推送?
  7. tomcatSupplement(1)tomcat启动脚本分析(以Windows平台为例)
  8. 2016-2017-20155329 《Java程序设计》第7周学习总结
  9. java实验二答案天津商业大学,天津商业大学java实验报告
  10. ubuntu 安装php 扩展和查看扩展包
  11. 南京应用计算机学校排名,南京软件工程专业比较好的17所大学推荐
  12. 复制文件夹 omitting directory
  13. 老师计算机组合照说说,关于老师的空间说说23句
  14. SpringBoot+Vue博客项目中遇到的坑
  15. Windows系统简体中文版官方镜像大全
  16. 幼儿园stem教育的发展有什么意义
  17. 一场属于HTML5守望者的盛宴——记图灵参加HTML5峰会
  18. 从《9败1胜》了解王兴如何10年创业带领美团上市!
  19. C++使用模板类实现简单的人事管理系统
  20. 硕盟SM-T67十合一拓展坞体验

热门文章

  1. C语言实现顺序表的插入删除(完整代码)
  2. 数字图像处理第五章——图像复原与重建
  3. 《统计学》第八版贾俊平第十一章一元线性回归知识点总结及课后习题答案
  4. hive建表语句comment 中文描述乱码
  5. android手机at指令集,手机AT指令集
  6. python使用筛选法求素数
  7. 【论文解读】关于深度森林的一点理解
  8. 关于Excel常用函数做数据分析
  9. 联想m7400更换墨粉盒怎么清零_联想M7400一体打印机换墨粉后如何清零?
  10. 2021全网最全Activiti7教程01(Activiti7详细介绍-欢迎收藏)