失误之处在于边界判错了、、、还有通项时没加括号、

一定要仔细,特别是易错点要重点检查

码:

#include<iostream>
#include<cstdio>
using namespace std;
long long  n,s1,s2,quan,x,y,ans; int main()
{scanf("%lld%lld%lld",&n,&s1,&s2);quan=min(s1-1,s2-1);quan=min(quan,n-s1);quan=min(quan,n-s2);int lin=n;for(int i=1;i<=quan;i++){lin--;ans+=lin*4;lin--;}x=quan+1,y=quan+1;ans++;      if(y==s1&&x==s2){printf("%lld",ans);return 0;}while(x+1<=n-quan){x++;ans++;if(y==s1&&x==s2){printf("%lld",ans);return 0;}}while(y+1<=n-quan){y++;ans++;if(y==s1&&x==s2){printf("%lld",ans);return 0;}}while(x-1>quan){x--;ans++;if(y==s1&&x==s2){printf("%lld",ans);return 0;}}while(y-1>quan){y--;ans++;if(y==s1&&x==s2){printf("%lld",ans);return 0;}}
}

2017.4.21 螺旋矩阵 思考记录相关推荐

  1. 2017.6.21 大都市meg 思考记录

    实在搞不过第二轮的题了..小火车的题太劲了 所以就在其他队爷虐题的时候默默地修炼算法. 这个题挺裸的..唯一要注意的就是边权要赋到点上. 所以是这样的: 还要注意一些易错点: 1.跳重链比较的是top ...

  2. 2017.3.24 分裂游戏 思考记录

    事先说明:这不是好题解,这只是思考记录 同机房的xp都学博弈了..    感觉还是学学看吧 先来看一下简单的nim: 题目: Alice和Bob放置了N堆不同的石子,编号1..N,第i堆中有A[i]个 ...

  3. 2017.3.6 地精部落 思考记录

    其实这个题第一眼是没有任何思路的 强行无视题解,经过漫长的找规律,终于推出了一个可行的方法: f[i][0/1]i表示最高位的数字,0表示开始是上升,1表示开始是下降. 为什么会推出这个? 1.因为所 ...

  4. 2017.10.24 上升序列 思考记录

    终于有会做的题了... 一开始想用正常的lis ,然后从前往后扫, 由于最优查询区间在序列上是按顺序单调递增的,所以想记录每个点取哪个值跳到哪,这样是n*m logn的 然后发现既然是单调递增的那直接 ...

  5. 2017.10.9 放棋子 思考记录

    .这个题根本没想到错排,但它似乎离散为斜对角之后很有规律,于是打表,推出了式子... 经常手玩式子,前几天的测试根本没想到卡特兰数然后用组合数硬生生凑了出来,然后这个题的错排.. f表示答案  g表示 ...

  6. 2017.10.7 括号序列 思考记录

    这个题看起来很简单,但细节比较麻烦.参考完别人的代码后才想出自己的解法的.. 一开始认为已匹配的括号是可以直接消的,所以就只维护了两个变量 但还有区间取反.. 由于和已配对的括号的顺序有关,所以不是很 ...

  7. 2017.9.28 约数研究 思考记录

    这个题一开始可能会想复杂, 然而它需要对答案的贡献进行归类 可以发现,一个约数对其倍数的数贡献是一样的,,所以可以考虑离散 所以直接对于每个数不好求,就可以考虑每个约数的贡献 而每个约数i就有n/i个 ...

  8. 2017.8.7 GT考试 思考记录

    大部分都想出来了,就是不会kmp生成矩阵. 首先要明白kmp失配里面是什么的位置,,,它是和它本身匹配的数,所以比较要用j+1! 然后枚举i的时候枚举的是前面的数都匹配的数再加上一个,所以枚举0~9统 ...

  9. 2017.8.7 数学作业 思考记录

    其实是比较裸的矩乘了(就是多了一个分段),就是有一些处理的方法值得注意 一般凡是+*+*的递推dp似乎都可以矩乘 注意快速幂的 单位矩阵. 另外并不是只有完全静止的转移才能进行转移. 如果是以1为周期 ...

最新文章

  1. weblogic服务器部署的程序,如何直接通过IP访问(即URL中去掉工程名)
  2. 【Java Web开发指南】FilterListener笔记
  3. php接受go返回数据,Golang: 接收GET和POST参数(示例代码)
  4. linux命令行引导iso,如何在Linux上使用命令行从可启动ISO创建可启动USB?
  5. 【RESTful风格】软件接口设计中RESTful风格
  6. arduino的esp32程序无法上传_原装正版arduino uno R3无法上传程序
  7. SystemParametersInfo (SPI_GETNONCLIENTMETRICS... 在VC 2008 里不能正常工作
  8. js-for (var in )遍历顺序乱了
  9. 为虚幻引擎4设置Visual Studio
  10. [leetcode]Symmetric Tree
  11. Windows 平台下 LiteIDE 的安装和使用
  12. 阵处理与波束形成学习心得(二)
  13. PAT乙级1055 集体照
  14. SVG排版公众号文章『CSS滤色混合模式』模板代码
  15. 视频播放过程中做视频问答(视频弹题功能)
  16. CentOS8部署多版本共存Python开发环境
  17. ip地址的分类和特殊的网络地址-——计算机网络笔记
  18. 【5】基于VS2017的MFC平台与OpenCV4.0.1联合小案列
  19. Lwip网口接口netif
  20. 力扣题库-765.情侣牵手 最优解法

热门文章

  1. yolox: AssertionError: Caught AssertionError in DataLoader worker process 0.
  2. 素数的有关性质(二)欧拉函数的一些定理证明与计算
  3. 不会吧不会吧!不会有人还有没有用CSDN的浏览器插件吧!
  4. Go 标准库 http.FileServer 实现静态文件服务
  5. Fedora配置清华镜像源或者阿里云镜像源
  6. chmod命令文件权限属性设置
  7. windows10使用VMvare Pro开启虚拟机提示与Device/Credential Guard不兼容
  8. A-Deeper-Understanding-of-Spark-Internals(Spark内核深入理解)
  9. python打开控制台运行_如何在IPython控制台中默认运行文件而不是终端?
  10. mysql5.7.14_mysql 5.7.14 下载安装配置方法图文教程