1.首先,建立工程,新建BDF文件,在BDF文件中画出电路图,如图所示:

2.由于输入输出数目较少,PIN管脚设置采用手动输入的方法,设置后如图所示:

然后点击start compilcation进行编译,得到如下结果:

3.最后,进行仿真设计,先新建一个VWF文件,再将node finder中的关键引脚拖至仿真区,设置好仿真时间以及输入信号,如图所示:
点击start simliation进行仿真,得到仿真结果如图所示:
4.到此,整个12进制计数器设计结束。

FPGA作业1:利用74161设计12进制计数器相关推荐

  1. FPGA作业_阶段一(六进制计数器仿真6-9进制循环计数器)

    一.六进制计数器仿真 题目内容如下: 自行绘制的电路结构RTL设计图 Quartus扫描生成的电路RTL图 [外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-fQR5BEJ ...

  2. 两片74161实现60进制_设计60进制的计数器_用eda设计60进制计数器_74ls161(3)

    图4 D/A 转换器电路 该电路的输入信号接四位二进制计数器的输出 端,设计数器输出高... 数字控制电路要求5V电源,可选择CW7805集成三端稳压器实现.辅助电源原理图如图6... <数字电 ...

  3. 两片74161实现60进制_74LS161设计60进制计数器-数电课程设计

    计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时.分频和执行数字运算以及其它特定的逻辑功能. 计数器种类很多.按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有 ...

  4. 两片74161实现60进制_数字逻辑题:用74161构成7进制计数器分别采用复位法和置数...

    74161 是四位二进制同步计数器,有数据置入功能.未计数前,将输出QD,QC,QB,QA,置成10 3)按计数增减分:加法计数器,减法计数器,加减法计数器. 7.3.1 异步计数器 一,异步 可以3 ...

  5. (36)FPGA面试题D触发器实现4进制计数器

    1.1 FPGA面试题D触发器实现4进制计数器 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题D触发器实现4进制计数器: 5)结束语. 1.1.2 本节引 ...

  6. 74ls390设计任意进制计数器,基于74LS192的任意进制计数器的设计

    基于74LS192的任意进制计数器的设计 [摘要]利用集成二.十进制计数器采用置数法.置零法设计任意进制计数器,分析设计方法,给出设计案例.以集成计数器74LS192为例,运用置零法和置数法设计八进制 ...

  7. 【verilog_8】: 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    1.设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 法一 author : Mr.Mao e-mail : 2458682080@qq.commodule cnt60x (in ...

  8. 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 Design a modulus 60 counter, with asynchronous reset, synchronou ...

  9. 用74161实现12进制与20进制

    今天天气很不好,想起了我家那里,高三下午自习,有次外面突然开始刮气起大风,然后我就看着天空一点一点变黄,满天的沙尘,我们那里叫沙城可能就是由此而来的 吧,不过现在治理的沙尘暴已经近乎绝迹了,欢迎大家到 ...

最新文章

  1. androidstudio调试android 源码 jni,在android studio下配置gradle用ndk-build和ndk-gbd编译调试JNI...
  2. 用java编写打印时间_编写一个java程序,读取系统时间,然后将时间用中文输出...
  3. LInux之建立文件和目录
  4. Redis数据恢复--误删数据后一次吓尿的经历
  5. 【工程师综合项目二】React + Koa2打造『JS++官网管理后台』
  6. Mybatis plus 开启日志
  7. php模拟post方法
  8. STM32 ucosii 串口接收数据 遇到的问题及解决思路
  9. 【1】redis的安装和配置,以及简单的增删查改uinx命令
  10. java关键字与解释_5.Java基础知识-注释和关键字
  11. 牛顿三次插值 matlab,matlab 牛顿插值法 三次样条插值法[行业二类]
  12. C++——STL容器
  13. 训练记录番外篇(2):2022 ICPC Gran Premio de Mexico 2da Fecha
  14. 逻辑智力测试-----让你怀疑人生!!
  15. 给div添加点击效果
  16. 重磅报告!智能手表将如何颠覆媒体?
  17. 2022国际数维杯C题题解:利用大脑结构特征和认知行为特征诊断阿尔茨海默病
  18. Informatica PowerCenter 简介(三)
  19. UIPATH Outlook邮件筛选
  20. C++里的clock()函数介绍

热门文章

  1. [常用工具] OpenCV获取网络摄像头实时视频流
  2. mac安装使用mathpix
  3. 社交零售多商户分销商城APP小程序系统
  4. 批量下载免费PPT模板【另一种智能翻页】
  5. JS比较常用的隔行换色和获取焦点以及失去焦点效果
  6. Meeting Bahosain
  7. tomcat服务器各文件夹的作用
  8. ios订阅_iOS订阅测试分步指南
  9. 纽约帝国大厦历时四年完成80楼观景台重新开发
  10. 基于高灵敏度可编程线性霍尔传感器的液压缸位移检测装置(位移传感器)