计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器、十进制计数器和任意进制计数器。根据计数器的增减趋势,又分为加法、减法和可逆计数器。还有可预制数和可变程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。

计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。­­­­­

1.1 计数器设计目的

(1)每隔1s,计数器增1;能以数字形式显示时间。

(2)熟练掌握计数器的各个部分的结构。

(3)计数器间的级联。

(4)不同芯片也可实现六十进制。

1.2 计数器设计组成

(1)用两个74ls161芯片和门电路元件实现。

(2)当定时器递增到59时,定时器会自动返回到00显示,然后继续计时。

(3)本设计主要设备是两个74LS161同步十六进制计数器,并且由200HZ、5V电源供电。

(4)两个芯片间的级联。

六十进制计数器设计描述

2.1 74LS161的功能

74LS161为4位二进制同步加法计数器。图2-1是它的管脚排列图,其中

是异步清零端,

是预置数控制端,D3 D2 D1 D0是预置数输入端,CTt和CTp是计数使能端,CO是进位输出端(CO=Q3 Q0)。

图2-1 74LS161的管脚排列图

表2-1是74LS161的功能表,由表可知,74LS161具有以下功能:

表2-1   74LS161的功能表输入输出

CTt   CTpCPD3  D2  D1  D0Q3  Q2  Ql  Q0

0

1

1

1

0

1

1

1×   ×

×   ×

0 ×

×   0

1   1×

×

×

↑×  ×  ×  ×

D3    D2    D1    D0

×  ×  ×  ×

×  ×  ×  ×

×  ×  ×  ×0   0   0   0

D3    D2    D1    D0

保持

保持

计数

(1)异步清零。当

=0时,不管其他输入端的状态如何,不论有无时钟脉冲CP,计数器输出将被直接置零(Q3Q2QlQ0=0000),称为异步清零。

(2)同步预置数。当

=1、

=0时,且在输入时钟脉冲CP上升沿的作用下,输入端的数据D3 D2 D1 D0被置入计数器的输出端,即Q3Q2QlQ0=D3 D2 D1 D0。由于这个操作要与CP上升沿同步,所以称为同步预置数。

(3)保持。当

=1,且CTtCTp=0时,不论有无CP脉冲作用,计数器都将保持原有的状态不变。

(4)计数。当

=CTt=CTp=1时,在CP端输入计数脉冲,74161处于计数状态,其状态表与表1 相同。

2.2方案框架

六十进制计数器个位和十位的实现:利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。在计数过程中,不管74LS161输出处于哪一状态,只要异步清零输入端

出现低电平,74LS161的输入端立即返回到0000状态。清零信号消失后,74LS161又从0000状态开始重新计数。这种方法即为反馈清零法。

计数器十位的计数要求:当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。

使用200HZ时钟信号作为计数器的时钟脉冲。根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。图2-2为60进制计数器的总体框图。

图2-2   系统总体框图

六十进制计数器的设计与仿真

3.1基本电路分析设计

(1)十进制计数器(个位)电路:计数器应从0000状态开始计数,当第十个CP脉冲出现时,即1010状态出现时应立即返回到0000状态。需要说明的是,电路是在进入1010状态后立即被置成0000状态。如图3-1所示电路,Q3、Ql作为反馈信号接到与非门的输入端,与非门的输出端与74LS161的清零端

相连。

图3-1  十进制计数器(个位)

(2)六进制计数器(十位)电路:计数器应从0000状态开始计数,当第六个CP脉冲出现时,即0110状态出现时应立即返回到0000状态。需要说明的是,电路是在进入0110状态后立即被置成0000状态。如图3-2所示电路,Q3、Q2作为反馈信号接到与非门的输入端,与非门的输出端与74LS161的清零端

相连。

图3-2  六进制计数器(十位)来自个位的进位电路:十进制计数器(个位)的输出端Q1、Q2接到与门的输入端,与门的输出端与六进制计数器(十位)相连。当十进制计数器(个位)计数到1001状态时,六进制计数器(十位)ET端接收到1信号,此时六进制计数器(十位)处于保持状态,当下一个CP脉冲信号到来时,计数器(个位)和计数器(十位)同时处于计数状态,紧接着计数器十位ET端接收到0信号,继而保持新的状态。来自个位的进位电路如图3-3所示。

图3-3    来自个位的进位电路

(4)时钟脉冲电路

        图3-4  时钟脉冲电路

(5)选定仪器列表

表3-1 仪器列表仪器名称型号数量用途

同步十六进制计数器74LS161N2片级联构成60进制计数器

与非门7400N2个辅助设计构成其他计数器

与门7408J1个辅助设计构成个位进位电路

共阴极显示器DCD-HEX2只显示数字计数

电压源Vcc  +5v1个提供电压

时钟脉冲+5V 200Hz1个提供时钟脉冲电压

(6)译码显示电路

图3-5  译码显示电路

综上所述,可设计六十进制计数器电路如图3-6所示。

图3-6 六十进制计数器

3.2 计数器电路的仿真

进入Multisim12.0界面,选择放置元件,按照电路图进行线路连接,同时标明所需参数值,确认电路无误后,即可单击仿真按钮,实现对电路的仿真工作。观察结果看是否与理论分析的预测结果相同。如图3-7所示为该六十进制计数器的仿真图。

图3-7 六十进制计数器电路仿真图

完整的Word格式文档51黑下载地址:

设计60进制计数器--数电课程设计.doc

(152.34 KB, 下载次数: 69)

2018-5-3 14:34 上传

点击文件名下载附件

下载积分: 黑币 -5

两片74161实现60进制_74LS161设计60进制计数器-数电课程设计相关推荐

  1. 两片74161实现60进制_74ls161集成60进制加法计数 - 74LS161集成计数器电路(2、3、4、6、8、10、60进制计数器)...

    二.74LS161集成计数器电路(60进制计数器) 实现60进制加法计数器需要2片161,它们之间的连接方式又分同步和异步两种. 1)异步连接方式.低位的161通过置数法设计为十进制加法计数器,每十个 ...

  2. 计算机联锁怎么排出发进路,计算机联锁进路选排设计.pdf

    课程名称:计算机联锁 设计题目: 计算机联锁进路选排设计 院 系: 计算机与通信工程系 学 号: 姓 名: 指导教师: 西南交通大学峨眉校区 2012 年 5 月 20 日 课 程 设 计 任 务书 ...

  3. 两片74161实现60进制_设计60进制的计数器_用eda设计60进制计数器_74ls161(3)

    图4 D/A 转换器电路 该电路的输入信号接四位二进制计数器的输出 端,设计数器输出高... 数字控制电路要求5V电源,可选择CW7805集成三端稳压器实现.辅助电源原理图如图6... <数字电 ...

  4. 两片74161实现60进制_用二进制计数器集成芯片74161设计:(1)60进制计数器 (2)6×10进制计数器 (3)10×6进制计数器的实验思路...

    74161是4位二进制计数器,有同步置数和异步清零功能. 下面所有的计数器方案都用同步逻辑来进行设计 (1)60进制计数器,进位条件为59,转换成二进制是111011 需要用两片74161,N1作为低 ...

  5. Verilog自顶向下设计24进制和60进制计数器(FPGA)

    提供Verilog自顶向下设计24进制和60进制计数器(1Hz,频率可调)并用数码管动态显示的代码,且两个程序皆在Basys2开发板上验证通过.程序思路: 首先将程序分为4部分:分频程序.计数程序.数 ...

  6. 【verilog_8】: 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    1.设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 法一 author : Mr.Mao e-mail : 2458682080@qq.commodule cnt60x (in ...

  7. 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 Design a modulus 60 counter, with asynchronous reset, synchronou ...

  8. ※以一片74163(同步十六进制加法计数器)为核心部件设计可变进制(两种进制选择)计数器

    题: 设计一个可控进制的计数器, 当输入控制变量M=0时工作在5进制; M=1时工作在15进制. 分析: 根据之前博客中的分析, 我们可以通过两种方法来进行设计. 设计方案1: 我们可以通过设计741 ...

  9. 16进制转8进制 两种方法先转二进制在转8进制或先转10进制在转8进制

    问题描述 给定n个十六进制正整数,输出它们对应的八进制数. 输入格式 输入的第一行为一个正整数n (1<=n<=10). 接下来n行,每行一个由09.大写字母AF组成的字符串,表示要转换的 ...

最新文章

  1. sob攻略超详细攻略_2020云南旅游超详细必看攻略(附带云南美食景点攻略)
  2. java stream 泛型
  3. SpringMVC多拦截器的执行
  4. 二维正则表达式v0.1
  5. SpringBoot集成Kafka集群并实现接收_发送消息操作_以及常见错误_亲测---Kafka工作笔记005
  6. 20200802:力扣200周周赛题解
  7. 2013计算机大纲,2013安徽公务员考试计算机大纲
  8. svg格式的中国地图轮廓图
  9. 上海商业车险进平台验收通过
  10. 新网银行模型竞赛点评-小微风控算法大赛-早期风险识别
  11. SWAT 建模与案例应用
  12. 计算机网络管理工程师证书考试试题,(信息化知识)国家信息化人才考试计算机网络工程师模拟试题.pdf...
  13. Enhancing Label Correlation Feedback in Multi-Label Text Classification via Multi-Task Learning
  14. Spherical Harmonics Lighting代码实现(续)
  15. 20:球弹跳高度的计算
  16. 软件类大学生求职就业攻略(一)
  17. 离散数学 学习笔记-Day4
  18. Laravel 5 速查表
  19. 微信小程序 支付功能
  20. 3DMax高级建模人物骨骼蒙皮!零基础快速入门!不要错过!

热门文章

  1. 渗透测试--ARP攻击
  2. 【兴趣书签】SOHO生活——理想的生活方式
  3. 机器学习-样本不均衡现象
  4. cp 硬连接 linux,软链接、硬链接和引用链接,以及如何实现文件快速拷贝(cp --reflink)...
  5. 在DDoS攻击来势汹汹的当下,DDoS防护还能临时抱佛脚吗?
  6. 计算机网络被限速,wifi被限速了怎么办,教您电脑怎么限制wifi网速
  7. iOS 13 LaunchScreen.storyboard 启动图屏幕适配
  8. ETF定投数据分析9——使用BT框架模拟交易
  9. springboot + 操作日志添加
  10. 以太坊Python智能合约开发指南