一、编写设计程序
1、新建工程:
打开quartus软件,菜单栏:File->New Project Wizard,然后得到下图界面:点击next。

在该界面中需设置工程目录以及工程名。

本项目没有写好的代码,直接点击next进入下一步。

在以下界面选择相应的设备,这需要根据你手上设备的型号选择。本项目不需要再实物开发板上验证,所以不进行选择或者随意选择一款均可。

接下来是EDA工具选择,选择好后点击next。

最后一步是之前的一个总结报告,直接finish就好。至此,成功新建一个项目。

2、编写程序
(1)点击红框,新建程序源文件。

(2)选择文件类型,因为使用Verilog写,所以选择以下文件类型。选择好后点击OK。

(3)完成以上步骤,在左侧会弹出一个新的文件编写界面。如下,文件名为Verilog1.v。

(4)接下来开始写入程序代码,如下:

(5)编译代码,点击图中红框中的三角标志进行代码编译。

(6)弹出如下文本框,点击yes。

(7)保存文件。注意:需保证文件名与model名相同。

(8)检查是否编译出错。如果左侧红框全是√,则编译成功。否则在下方的红框中查找错误。

至此,设计程序编写成功。
二、编写test bench(测试程序)
(1)建立新文件

(2)找到建立的文件,该文件路径:工程目录(本项目名test)->simulation->modelsim->xxx.vt(或者xxx.vht)

(3)在quartus中打开该文件。

(4)游览到刚才文件所在的文件夹,选择该文件。

(5)有可能你游览到该文件夹,淡看不到任何文件,如下。

只需将文件类型选择为all files即可。

点击add

可以看到文件已添加。

随后点击OK即可。双击打开.vt文件。可以看到该文件中已有部分代码,我们只需对其进行添加修改即可。

(6)编写测试程序,写好程序如下:

三、仿真前设置
(1)仿前设置。菜单栏:assignments->setting。然后依次进行如下步骤。第四、五步骤是为了添加测试程序。

(2)添加测试程序

可以看到已成功添加该文件。


(3)查看modelsim软件安装路径
菜单栏:tools->options。

如果路径为空白的话,手动游览到modelsim安装的路径下。

四、modelsim仿真
1、调用modelsim软件:点击图中标志或者tools->run simulation tools->RTL simulation

2、出错:可以看出错误是在modelsim目录下(即测试程序文件所在目录)找不到xxx.v文件。

3、将xxx.v文件复制到测试程序文件所在目录

4、重新进行RTL simulation(需关闭modelsim)
接下来只需等待便可,最后查看得出的wave图是否符合设计要求就完成了这个仿真。

quartus+modelsim仿真教程相关推荐

  1. Quartus与Modelsim联合仿真ROM IP时输出波形一直为零的问题以及ROM配置仿真教程

    本人近期在使用Quartus Prime与 ModelSim联合对ROM IP进行仿真时,遇到了一个问题,仿真输出波形一直为零.如下图,其中neur_W即为ROM的输出. 在反复确认本人代码没有问题后 ...

  2. modelsim仿真quartus软件IP核错误及解决办法

    本人作为萌新.在这个寒假第一次接触FPGA,并且在仿真的过程中遇到了很多问题,并且通过互联网发现csdn上有许多大佬分享的心得与资料.虽然很多大佬的思路给了我启发,但是实现过程不够细致,导致仿真过程出 ...

  3. 【QuartusⅡ设计的bdf文件调用Modelsim仿真的方法】

    前言 提示:通过QuartusⅡQuartusⅡQuartusⅡ软件调用ModelsimModelsimModelsim软件,仿真顶层设计原理图(.bdf)文件,即可验证所设计的原理图(.bdfbdf ...

  4. QUARTUS联合modelsim仿真(quartus13.0)

    设置仿真软件(Modelsim/ Modelsim-Altera)路径 (1)点击tools ->Options (2)选择EDA Tool Options PS:看自己情况设置,使用独立Mod ...

  5. Quartus II 13简易仿真教程

    Quartus II 13简易仿真教程 编译项目 新建vwf仿真文件 已知的bug 编译项目 新建vwf仿真文件 filr>new>verification/debugging files ...

  6. FPGA学习笔记(六)Modelsim单独仿真和Quartus联合仿真及signaltap使用

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  7. 【黑金原创教程】【Modelsim】【第一章】Modelsim仿真的扫盲文

    声明:本文为黑金动力社区(http://www.heijin.org)原创教程,如需转载请注明出处,谢谢! 黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/al ...

  8. 基于FPGA简易电子琴设计+电路原理图+Modelsim 仿真+Quartus II 下载+源代码+激励文件

    一.总体电路结构设计 五大模块 按键同步输入模块 编码频率控制模块 分频计数模块 译码模块 二分频(方波)模块 二.Modelsim 仿真 同步输入仿真波形:模拟按键输入 key[3:0],经过同步输 ...

  9. Modelsim仿真使用教程

    最近写了个设计<基于VHDL/Verilog的汉明码编译码器设计> 之前用QuartusII+modelsim联合仿真,没有出现任何问题,后面在别的电脑上也安装了两个软件,结果Quartu ...

  10. Verilog笔记——数据检测/独热码检测——Quartus与Modelsim仿真

    MATLAB 与 FPGA无线通信.图像处理.数字信号处理系列 1.题目要求 输入32-bit数据,若是2的N次方(如1=20,2=21),输出1,否则输出0,复位时输出高阻态.(实际上,这是对32位 ...

最新文章

  1. java中的for-each循环
  2. 阿里开发规范文档_华为阿里等技术专家15年开发经验总结:SSM整合开发实战文档...
  3. vue服务端渲染添加缓存
  4. windows下环境变量配置后没生效,不重启电脑的解决办法
  5. 深度学习(七十)darknet 实现编写mobilenet源码
  6. 建筑与计算机技术,计算机技术与建筑设计的不完全认识
  7. 【动态规划】P1048 01背包问题:采药
  8. bzoj 1191: [HNOI2006]超级英雄Hero
  9. RxJS修炼之 用弹珠测试学习RxJS
  10. MOFs/COFs单体 COFs单体 光电材料中间体的应用
  11. html5的canvas绘制迷宫地图
  12. “黑盒工坊”,轻松管理《魔兽世界》插件!
  13. TextView实现左边图片右边文字或 上面图片下面文字
  14. 强强联合!武大牵手腾讯,浙大牵手阿里
  15. 计算机与u盘连接使用,u盘虽然与电脑连接,但是插上后却没有反应,这该如何解决?...
  16. 华为快应用IDE:如何使用华为快应用IDE进行快应用测试
  17. 使用 EPUB 制作数字图书 基于 XML 的开放式 eBook 格式
  18. 多视图几何的数学基础知识的掌握(2)--李群李代数
  19. 本地直播平台的搭建—四种方式(转载)
  20. SQL函数 LTRIM和RTRIM的用法

热门文章

  1. Python opencv 简单的车牌识别 —— 简单学习
  2. 8音度dsp调音教程_8音度汽车调音软件
  3. Windows程序设计--起步
  4. fiddler——一款莱斯的抓包工具
  5. 【黑客】利用VBS脚本让QQ永远在线,等级速升
  6. 解决win10可以上网但出现小地球的情况
  7. Java后台生成小程序二维码
  8. 教程入门:建立一个完全自动化的交易系统
  9. html显示ftp资料,获取FTP信息及使用方法
  10. 超简单的ubuntu18.04安装teamview