前言

提示:通过QuartusⅡQuartusⅡQuartusⅡ软件调用ModelsimModelsimModelsim软件,仿真顶层设计原理图(.bdf)文件,即可验证所设计的原理图(.bdfbdfbdf文件)文件是否正确。


一、目的

使用QuartusⅡQuartusⅡQuartusⅡ软件,如何调用Modelsim仿真用自己设计的vhdlvhdlvhdl文件模块化后,调用模块而生成的顶层原理图(.bdf.bdf.bdf文件)。

二、步骤

1.用设计的顶层原理图(.bdfbdfbdf)文件,生成.vhdvhdvhd(VHDLVHDLVHDL)文件

步骤如下:

  • 生成.bdfbdfbdf文件对应的硬件描述语言文件
  • 选择对应的HDL语言,生成原理图对应的hdl文件
  • 打开生成的hdlhdlhdl文件加入当前的projectprojectproject。
  • 移除刚才的bdfbdfbdf文件(此步可能不正规,但是同时存在.vhdvhdvhd文件和.bdfbdfbdf文件会导致编译不成功,也可改动bdfbdfbdf文件的文件名)。
  • 将刚才加入projectprojectproject的.vhdvhdvhd设为顶层文件后编译.

2.调用ModelsimModelsimModelsim软件进行正常步骤仿真

  • 调用modelsimmodelsimmodelsim进行正常步骤仿真验证。

EveAhAcdw18EveAhAcdw18EveAhAcdw18


【QuartusⅡ设计的bdf文件调用Modelsim仿真的方法】相关推荐

  1. 【转载】 quartus中调用modelsim仿真的方法

    http://blog.sina.com.cn/s/blog_4b2a5d8401000910.html http://hi.baidu.com/asin28/blog/item/c4c1218d8e ...

  2. 在quartus 和 ISE 里直接调用modelsim的方法(转)

    http://blog.sina.com.cn/s/blog_697e8abb0100muog.html 在quartus 和 ISE 里直接调用modelsim的方法 在这个论坛中我学到了很多东西, ...

  3. modelsim do文件简介及仿真波形分析注意事项

    目录 前言 Modelsim指令介绍 步骤一:创建run_wave.do 步骤二:打开Modelsim 步骤三:do  run_wave.do 步骤4:run_sim.bat 补充介绍 参考 前言 本 ...

  4. Vivado联合ModelSim仿真设置(附图步骤)

    目录 1.在Vivado中生成lib 2.生成库的选择 3.点击Compile,即可开始生成库文件 4.在Vivado中添加ModelSim调用设置 5.将Vivado的仿真库添加到ModelSim中 ...

  5. 用Modelsim仿真时一直弹窗,最后会闪退

    新安装了standard版本的Quartus Prime版本,在运行Modelsim仿真的时候,整个的仿真工具会不断弹窗,最终会闪退.软件重新安装了也不行,找了很久最后也是看到有网友说是华为电脑管家的 ...

  6. 基于FPGA简易电子琴设计+电路原理图+Modelsim 仿真+Quartus II 下载+源代码+激励文件

    一.总体电路结构设计 五大模块 按键同步输入模块 编码频率控制模块 分频计数模块 译码模块 二分频(方波)模块 二.Modelsim 仿真 同步输入仿真波形:模拟按键输入 key[3:0],经过同步输 ...

  7. 【Modelsim仿真bdf文件(不正规方法)】

    前言 提示:使用QuartusⅡQuartusⅡQuartusⅡ软件,如何仿真顶层原理图(.bdf.bdf.bdf)文件,不是正规方法但可能有相同效果,即可验证所设计的原理图(.bdf.bdf.bdf ...

  8. Quartus II调用modelsim无缝仿真

    本篇文章为转载,写的不错,最近在学modelsim仿真,网上的教程很乱,把自己认为不错的整理贴出来,后面有机会会写个详细点的. Quartus 中调用modelsim的流程 1. 设定仿真工具  as ...

  9. Quartus调用ModelSim进行仿真的步骤

    1.建立工程,在建立工程的第四步中的Simulation下选择Tool Name为ModelSim-Altera,再在后面的Format(s)中选择Verilog HDL.继续构建完工程: 2.在工程 ...

最新文章

  1. etcd数据库备份与还原
  2. Java内存回收机制基础[转]
  3. golang float string int 相互转换 保留小数位
  4. java常用工具类_java(二):工作中常用到的工具类
  5. 段描述符表(GDT+LDT)的有感
  6. 4.Dynamics CRM 数据数量限制更改
  7. 房地产税迟早要来,而且会带来房价小涨。
  8. 记一次mysql中文字符乱码的问题排查
  9. Linux系统管理(3)——防火墙 iptables基本原理 四表五链 NetFilter 概述
  10. Unity内置Shader解读1——Bumped Diffuse
  11. 以太坊转账参数java_【ETH钱包开发04】web3j转账ERC-20 Token
  12. 如何将多张图片拼成一张图?
  13. python彩色蟒蛇绘制方向_python绘制蟒蛇,绘制五彩蟒蛇
  14. 16款必备 Adobe AIR应用程序推荐
  15. Leetcode 476. Number Complement 补数 解题报告
  16. 基于camunda如何实现会签:camunda会签流程配置与原理解析
  17. c语言中有余数的除法,《有余数的除法》课堂实录
  18. ajaxpro简单调用
  19. forum php 无法下载应用程序,苹果Iphone或ipad无法下载应用程序的六种解决办法
  20. 【Unity】AssetBundle简单的加密解密

热门文章

  1. python也可以成为贴心小管家--每日播报考研倒计时、天气情况、还有“营养鸡汤”
  2. sql server 出现数据库恢复挂起时 解决办法
  3. 苹果汽车将不支持全自动驾驶;华为云发布研发需求管理工具;腾讯文档发布企业版;OpenAI上线ChatGPT | 每日大事件...
  4. c语言俄罗斯方块程序设计论文,c语言数据结构程序设计俄罗斯方块解读
  5. DirectX11与游戏编程学习路线自拟
  6. C++ 标准库概览(一分钟就看完了)
  7. ciObjectFactory::create_new_metadata
  8. 11月15日 作业2,黑洞子弹,子弹发射位置朝着准星方向 UE4斯坦福 学习笔记
  9. asp毕业设计——基于asp+access的校园新闻发布管理系统设计与实现(毕业论文+程序源码)——新闻发布管理系统
  10. 用舵机控制树莓派摄像头的转动