AXI4-Stream去掉了地址项,允许无限制的数据突发传输规模;

一、接口信号描述

信号

描述

ACLK

时钟源

全局时钟信号。所有信号在ACLK信号上升沿采样。

ARESETn

复位源

全局复位信号。ARESETn低电平有效。

TVALID

TVALID表示主设备正在驱动一个有效的传输。当TVALID和TREADY都置位时,发生一个传输。

TREADY

TREADY表示从设备在当前周期能够接收一次传输。

TDATA[(8n-1):0]

TDATA是基本的有效载荷,用来提供跨越接口的数据。数据为整数个字节。

TSTRB[(n-1):0]

TSTRB位字节修饰符。用来描述TDATA相关字节内容作为一个数字字节或者一个位置字节被处理。

TKEEP[(n-1):0]

TKEEP是字节修饰符。用来表明TDATA相关字节的内容是否作为数据流的一部分被处理。TKEEP字节修饰符未被确认的那些相关字节是空字节,可以从数据流中去除。

TLAST

TLAST表明了包的边界。

TID[(i-1):0]

TID是数据流的标识符,用来表明不同的数据流。

TDEST[(d-1):0]

TDEST为据流提供路由信息。

TUSER[(n-1):0]

TUSER是用户定义的边带信息,这它能伴随数据流进行发送。

二、握手机制

只有当VALID 和READY 同时为高时,才能进行传输。

VALID和READY信号的先后顺序有一下三种形式:

2.1  VALID早于READY信号

2.2  READY信号早于VALID信号

2.3 VALID 信号与 READY 信号同时

三、基本事务

AXI4-Stream跟AXI4的区别就是AXI4-Stream去除了地址线,这样就不涉及读写数据的概念了,只有简单的发送与接收说法,减少了延时。由于AXI4-Stream协议(amba4_axi4_stream_v1_0_protocol_spec.pdf)没有时序图,因此,我使用XILINX公司的产品指导手册(pg007_srio_gen2_v3_1.pdf)里的一个时序图来演示AXI4-Stream各个信号的关系。如下图所示:

上图中,tready信号一直处于高电平,表示从设备做好了接收数据准备。tvalid变为高电平的同时,tdata、tkeep、tuser也同时进行发送。在tdata最后一个字节数据时,tlast发送一个高电平脉冲。数据发送完成后,tvalid变为低电平。这样一次传输就完成了。

AXI4-Stream协议总结相关推荐

  1. (4)ZYNQ AXI4总线协议介绍

    1.1 ZYNQ AXI4总线协议介绍 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)ZYNQ AXI4总线协议介绍: 5)结束语. 1.1.2 本节引言 " ...

  2. axi4协议的乱序_一篇文章读懂读透FPGA AXI4 总线协议

    新一代FPGA中采用的基本都是AXI4总线协议,例如与slaver侧的DMA或DDR等通信.这篇讲AXI4的文章感觉讲的很清楚. 0.绪论AXI是高级扩展接口,在AMBA3.0中提出,AMBA4.0将 ...

  3. gic stream协议

    gic stream协议,是基于AXI-stream协议.用于gic的IRI组件(interrupt routing infrastructure),和cpu interface之间,传输信息. di ...

  4. AXI4 STREAM DATA FIFO

    AXI4 STREAM DATA FIFO是输入输出接口均为AXIS接口的数据缓存器,和其他fifo一样是先进先出形式.可以在跨时钟域的应用中用于数据缓冲,避免亚稳态出现.支持数据的分割和数据拼接.在 ...

  5. 接收sahara协议消息 或者 出现stream协议握手失败

    卡在:接收sahara协议消息   或者  出现stream协议握手失败 文件路径不要有中文或空格 说明:这个所有的OPPO刷机,都可能出现 出错原因:这个出错原因不是很明确,但是本人用以下的方法操作 ...

  6. AXI4总线协议的发展历史

    随着集成电路芯片的规模越来越大,IC的设计开始越来越复杂.基于IP核复用的设计方法因为能有效的降低复杂度,提高设计成功率,目前已成为主流的IC设计方法.而如何解决一个芯片内不同IP核间的通信问题是总体 ...

  7. reactive stream协议详解

    文章目录 背景 什么是reactive stream 深入了解java版本的reactive stream Publisher Subscriber Subscription Processor JD ...

  8. AXI4协议之AXI4-Full接口详解及实战

    文章目录 AXI4总线协议 概述 AXI4-Full 接口 创建代码实例 代码分析 模块参数 输入输出 其他参数 写地址通道 写数据通道 写响应通道 读地址通道 读数据通道 代码阅读 一堆信号声明 一 ...

  9. FPGA控制DDR读写(AXI4总线接口)

    FPGA控制DDR读写(AXI4总线接口) 范围 本文适用于FPGA控制DDR读写 MIG核 MIG信号注释 DDR型号为 MT41K256M16TW-107 下面是MIG IP核的相关信号 图2.1 ...

  10. AXI 总线协议学习笔记(2)

    引言 从本文开始,正式系统性学学习AXI总线. 如何获取官方协议标准? 第一步:登陆官网:armDeveloper 第二步:登录,无账号需要注册 第三步:点击文档 第四步: 第五步:浏览页面建议下载下 ...

最新文章

  1. Kettle使用_6 配置资源库
  2. 第四十三期:Wireshark网络分析就这么简单,你一定会喜欢的技巧
  3. 前端上传插件Plupload的实际使用(个人实操)
  4. StringUtils工具类常用方法
  5. 【转】如何用一个实例来探讨嵌入式软件架构设计
  6. 辽东学院计算机练习,辽东学院计算机应用基础课件.doc
  7. Cry with DX11
  8. android 获取N天前的日期或N天后日期
  9. 外包被裁能要n+1吗?签约软通动力,在滴滴工作,滴滴裁员,我要n+1,软通不认!...
  10. iOS数据库编程(Andy)
  11. 机器学习一百天 数据预处理
  12. sub 对应php什么函数,subtotal函数的使用方法1-9分别什么意思
  13. 美团每日红包 青龙面板
  14. .NET Framework各个版本(3.5 - 4.0)
  15. 如何打造细致的2D捏脸系统
  16. 12星座之追女必杀技~
  17. [转组第10天] | Android6.0.0_r1源码编译和POC程序的编译
  18. 尊贤、谦虚、谨慎、交友、有恒、微渐、慎始终、因果
  19. 金弘同创:拼多多怎么退保证金
  20. 优势明显 三星、SK海力士称雄DRAM市场

热门文章

  1. 基于ESP32的竞赛裁判系统功能调试-激光信号调试
  2. CSDN 的文化衫寄送到啦
  3. 2021年春季学期-信号与系统-第六次作业参考答案-第九小题
  4. python目标跟踪_商汤开源最大目标跟踪库PySOT,代码已正式上线!
  5. python中用来捕获异常的是_python – 在一行中捕获多个异常(块除外)
  6. linux+分配挂载点权限,Linux系统管理(一)——初学者建议
  7. layui树形父子不关联_DP专题7 | 没有上司的舞会 洛谷1352(树形DP)
  8. php空格是什么,php删除空格函数是什么
  9. FPGA的设计艺术(6)STA实战之SmartTime时序约束及分析示例(I)
  10. FPGA之道(35)Verilog中的并行与串行语句