上篇博文写了一些有关CMOS门电路的基础内容,相信认真看完,一定能画出各种CMOS门电路:CMOS门电路,这些是最常考的基础内容。

下面简单介绍一下其他概念题目:

1、 解释一下Vih,Vil,Vol,Voh,Vt。

这些是有关逻辑电平的一些概念:

输入高电平(Vih):保证逻辑门的输入为高电平时所允许的最小输入高电平,当输入电平高于Vih时,则认为输入电平为高电平。  
 输入低电平(Vil):保证逻辑门的输入为低电平时所允许的最大输入低电平,当输入电平低于Vil时,则认为输入电平为低电平。  
 输出高电平(Voh):保证逻辑门的输出为高电平时的输出电平的最小值,逻辑门的输出为高电平时的电平值都必须大于此Voh。  
 输出低电平(Vol):保证逻辑门的输出为低电平时的输出电平的最大值,逻辑门的输出为低电平时的电平值都必须小于此Vol。  
 阀值电平(Vt):数字电路芯片都存在一个阈值电平,就是电路刚刚勉强能翻转动作时的电平。它是一个界于Vil、Vih之间的电压值,对于CMOS电路的阈值电平,基本上是二分之一的电源电压值,但要保证稳定的输 出,则必须要求输入高电平> Vih,输入低电平<Vil,而如果输入电平在阈值上下,也就是Vil~Vih这个区域,电路的输出会处于不稳定状态。  
 对于一般的逻辑电平,以上参数的关系如下:  
 Voh > Vih > Vt > Vil > Vol  
补充几个概念:
 Ioh:逻辑门输出为高电平时的负载电流(为拉电流)。  
 Iol:逻辑门输出为低电平时的负载电流(为灌电流)。  
 Iih:逻辑门输入为高电平时的电流(为灌电流)。  
 Iil:逻辑门输入为低电平时的电流(为拉电流)。 
参考来源

2、反相器的速度与哪些因素有关?什么是转换时间(transition time)和传播延迟(propagation delay)?

反相器的速度与哪些因素有关。

(1)  电容(负载电容、自载电容、连线电容)较小,漏端扩散区的面积应尽可能小。输入电容要考虑: (1)Cgs 随栅压而变化(2)密勒效应(3)自举效应

(2)   加大晶体管的尺寸(驱动能力),使晶体管的等效导通电阻(输出电阻)较小。但这同时加大自载电容和负载电容(下一级晶体管的输入电容)。

(3)   提高电源电压,提高电源电压可以降低延时,即用功耗换取性能但超过一定程度后改善有限。电压过高会引起可靠性问题(氧化层击穿、热电子等)。

Transition Time(转换时间):上升时间:从10%Vdd上升到90%Vdd的时间,下降时间L从90%Vdd下降到10%dd的时间。上升时间和下降时间统称为Transition Time,也有定义为20%到80%。

Propagation Delay(传播延时):在输入信号变化到50%Vdd到输出信号变化到50%Vdd之间的时间。

参考链接

3、画出CMOS三态缓冲器的电路原理图,解释一下高阻态。

高阻态:电路的一种输出状态,既不是高电平也不是低电平,如果高阻态再输入下一级电路的话,对下级电路无任何影响,可以理解为断路,不被任何东西所驱动,也不驱动任何东西。

CMOS三态缓冲器的画法

第一个画法:在反相器的上方串联一个PMOS,在下面串联一个NMOS,如下图画法一:

为1时,最上面的PMOS截止,下面的NMOS也截止,所以输出为高阻态;当 = 0时候,上面的PMOS导通,下面的NMOS也导通,这样的话输出就是一个反相器,即 ;

上面的反相器也可以用CMOS门电路替换:

第二种画法:(输出Y忘了画出,请自行加上)

在反相器的CMOS门电路上方串联一个PMOS,同时用或非门控制:

 = 1,上方PMOS截止,下面NMOS也截止,所以输出为高阻态;

 = 0时,上方PMOS导通,输出为Y = A;

第三种画法:

反相器的CMOS电路下面串联一个NMOS管,并用与非门控制;

分析不在话下。

下面是对上述情况的总结:

4、什么是open-drain output?

门电路输出极在集成单元内不接负载电阻而直接引出作为输出端,这种形式的门叫做开路门。开路的CMOS门叫做OD门;

未完待续

IC/FPGA笔试/面试题分析(十一)基础概念(三态门等)相关推荐

  1. IC/FPGA笔试/面试题分析(八)近期IC/FPGA笔试面试讨论群题目汇总解析

    背景:IC前端设计/FPGA笔(面)试交流群,欢迎同行加入 自从开始邀请同行加入笔试面试交流群之后,目前已经有40多位同行加入,大家踊跃发言,各抒己见,让各自受益匪浅. 今天的这篇博文是将近期部分题目 ...

  2. IC/FPGA笔试/面试题分析(九)关于FIFO最小深度计算的问题

    IC/FPGA逻辑设计笔试题中最常见的体型莫过于FIFO最小深度的计算了,以前看到过计算FIFO最小深度,需要代入公式,直到看到这篇文档,才觉得使用逻辑分析的方法来看更能让人理解的更为深刻. 文档把计 ...

  3. IC/FPGA笔试/面试题分析(七)建立时间和保持时间类型考题汇总分析

    自从召集2020届校招同行加入新建立的"IC/FPGA校招笔试面试交流群",见识到了很多关于建立时间和保持时间分析的题目,在为别人解答疑惑,以及别人为自己解答疑惑的同时,自己对于知 ...

  4. IC/FPGA笔试/面试题分析(十)CMOS门电路

    目录 CMOS介绍 CMOS非门 CMOS与非门 CMOS或非门 CMOS或门 CMOS与门 CMOS与或式 CMOS或与非 CMOS介绍 MOS管分为NMOS和CMOS,二者成对出现在电路中,且二者 ...

  5. IC/FPGA校招笔试题分析(三)

    还有1天就要进行某瓦科技的面试了,今天晚上赶紧复习下上次它的笔试题: 1.一个四位十六个状态的格雷码计数器,起始值为1001,经过100个时钟脉冲作用之后的值为(). 首先,算出100个脉冲后跑了多少 ...

  6. IC/FPGA校招笔试题分析(四)再看Moore状态机实现序列检测器

    参加了几次笔试,发现序列检测器是常考的内容,而之前认为序列检测器真的很简单,但是这次X疆的笔试题做完之后,我怀疑自己了. 画状态转移图的时候,我开始犹豫了,我怕我会没考虑全,甚至有点晕. 人家又问: ...

  7. IC/FPGA校招笔试题分析(二)任意切换的时钟分频电路

    今天的笔试题是某芸科技的现场笔试题,数字前端的笔试题,要求很简单,就是现场写出代码实现: 任意切换1-8分频,且无论奇分频还是偶分频,占空比均为50%,我至今仍然认为,在那种紧张且时间有限的情况下(本 ...

  8. IC/FPGA校招笔试题分析(一)

    某发科的一个题目,仅仅给出下面一个电路图,让你画出Q1,Q2以及Q3的波形,并描述电路功能. 可以看出,这个电路很简单(可tm的当时就是没做出来),我当时疑惑的是初值是什么,输入初值是什么? 可想想和 ...

  9. 【机密】数字IC后端笔试面试题库(附知识星球活动)

    [机密]数字IC后端笔试面试题库(附知识星球活动) 文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点.点击进去后出现任何损失与社区无关. 最近很多公司的校招都陆续开始了,不知道今年应届生的小伙伴 ...

最新文章

  1. 基于机器学习的入侵检测系统
  2. Mysql Router 读写分离配置
  3. amd同步多线程_使用方法及感受_AMD Ryzen Threadripper 1950X_CPUCPU评测-中关村在线
  4. 省AK赛——J - Happy Great BG
  5. linux库引入之动态库静态库(生成和使用)
  6. Java当中用 javabean和其他容器存入表格数据 或 利用 容器进行存储表格
  7. Yii2.0 两次奇葩的数据库连接经历
  8. 如何在Mac上创建和使用符号链接?
  9. 素数就是不能再进行等分的数。比如2,3,5,7,11,等 9=3*3说明它可以等分,因而不是素数 我们国家在1949年建国,如果只给你 1 9 4 9 这4个数字卡片, 可以随意摆放他们的先后顺序(但
  10. opencv2.4.7.2画圆,画十字,画矩形
  11. ie浏览器框架不显示_Python 爬虫(四):Selenium 框架介绍与使用
  12. Python 辛普森积分法 simps计算sin(x)的值(完美实现)
  13. bitvise terminal 中文乱码
  14. 天正电气图例_cad天正电气常用快捷键整理
  15. wpa_supplicant状态机
  16. for循环后面的分号
  17. 最佳实战 | 如何使用腾讯云微搭从0到1开发企业门户应用
  18. 一个项目的流程和前期的准备工作
  19. Linux九阴真经之无影剑残卷7(进程管理)
  20. 怎样编写精确的延时函数

热门文章

  1. tomcat 远程管理(入门级)
  2. php post可重复提交了,如何防止 POST 数据重复提交!
  3. c 普通的文本变成注释文本的快捷键_收藏了!IntelliJ IDEA 2019 快捷键开发手册
  4. linux能修复根目录硬盘,Linux系统报错修复的方法
  5. solidworks activator未响应_SolidWorks之初识工程图
  6. android调服务不更新,android – OnUpdate()不调用小部件服务
  7. linux 打印函数宏,linux内核中的嵌入式汇编宏函数
  8. datafactory生成mysql数据_测试数据生成工具DataFactory的使用
  9. 通过一个端口读取多个按钮
  10. 2021人工神经网络第二次作业要求