某发科的一个题目,仅仅给出下面一个电路图,让你画出Q1,Q2以及Q3的波形,并描述电路功能。

可以看出,这个电路很简单(可tm的当时就是没做出来),我当时疑惑的是初值是什么,输入初值是什么?

可想想和输入初值有个毛线关系呀,第一个触发器的输入是第二个以及第三个触发器的输出的反馈,是Q1与Q2的或非;

只要触发器复位有初值即可,一般触发器复位初值为0,这里也默认为0,那么输入值在复位时应该为1.

那么当正常运行(复位无效)时,q0的第一个值为复位值延迟一拍并持续一个时钟,之后q1、q2就简单了。

为了清晰的分析这个问题,我们把这个电路用Verilog描述出来,之后验证。

`timescale 1ns / 1ps
//
// Company:
// Engineer: reborn lee
//
// Create Date: 2019/07/24 19:57:47
// Design Name:
// Module Name: test//module test(input rst_n,input clk,output out2);wire in1;reg q0, q1, q2;always@(posedge clk or negedge rst_n) beginif(!rst_n) beginq0 <= 1'b0;q1 <= 1'b0;q2 <= 1'b0;endelse beginq0 <= in1;q1 <= q0;q2 <= q1;endendassign in1 = !q0 & !q1;assign out2 = q2;endmodule

生成对应的RTL原理图:

可见,和题目所给的电路图几乎一致。

在仿真验证:

`timescale 1ns / 1ps
//
// Company:
// Engineer: reborn lee
//
// Create Date: 2019/07/24 20:19:38
// Design Name:
// Module Name: sim_test
//module sim_test();reg clk;reg rst_n;wire out2;initial beginclk = 0;forever #2 clk = ~clk;endinitial beginrst_n = 1'b0;#5rst_n = 1'b1;endtest test_tb(.rst_n(rst_n),.clk(clk),.out2(out2));endmodule

观察波形图,与我们分析的一致。

最后至于这个电路的功能?

这会是什么功能呢?我暂时不敢多说,欢迎评论,给出你的答案。

IC/FPGA校招笔试题分析(一)相关推荐

  1. IC/FPGA校招笔试题分析(三)

    还有1天就要进行某瓦科技的面试了,今天晚上赶紧复习下上次它的笔试题: 1.一个四位十六个状态的格雷码计数器,起始值为1001,经过100个时钟脉冲作用之后的值为(). 首先,算出100个脉冲后跑了多少 ...

  2. IC/FPGA校招笔试题分析(四)再看Moore状态机实现序列检测器

    参加了几次笔试,发现序列检测器是常考的内容,而之前认为序列检测器真的很简单,但是这次X疆的笔试题做完之后,我怀疑自己了. 画状态转移图的时候,我开始犹豫了,我怕我会没考虑全,甚至有点晕. 人家又问: ...

  3. IC/FPGA校招笔试题分析(二)任意切换的时钟分频电路

    今天的笔试题是某芸科技的现场笔试题,数字前端的笔试题,要求很简单,就是现场写出代码实现: 任意切换1-8分频,且无论奇分频还是偶分频,占空比均为50%,我至今仍然认为,在那种紧张且时间有限的情况下(本 ...

  4. FPGA校招笔试题分析

    仅仅给出下面一个电路图,让你画出Q1,Q2以及Q3的波形,并描述电路功能 第一个触发器的输入是第二个以及第三个触发器的输出的反馈,是Q1与Q2的或非:实际上就是同步三分频电路: 只要触发器复位有初值即 ...

  5. IC/FPGA笔试/面试题分析(八)近期IC/FPGA笔试面试讨论群题目汇总解析

    背景:IC前端设计/FPGA笔(面)试交流群,欢迎同行加入 自从开始邀请同行加入笔试面试交流群之后,目前已经有40多位同行加入,大家踊跃发言,各抒己见,让各自受益匪浅. 今天的这篇博文是将近期部分题目 ...

  6. IC/FPGA笔试/面试题分析(七)建立时间和保持时间类型考题汇总分析

    自从召集2020届校招同行加入新建立的"IC/FPGA校招笔试面试交流群",见识到了很多关于建立时间和保持时间分析的题目,在为别人解答疑惑,以及别人为自己解答疑惑的同时,自己对于知 ...

  7. IC/FPGA笔试/面试题分析(九)关于FIFO最小深度计算的问题

    IC/FPGA逻辑设计笔试题中最常见的体型莫过于FIFO最小深度的计算了,以前看到过计算FIFO最小深度,需要代入公式,直到看到这篇文档,才觉得使用逻辑分析的方法来看更能让人理解的更为深刻. 文档把计 ...

  8. IC/FPGA笔试/面试题分析(十一)基础概念(三态门等)

    上篇博文写了一些有关CMOS门电路的基础内容,相信认真看完,一定能画出各种CMOS门电路:CMOS门电路,这些是最常考的基础内容. 下面简单介绍一下其他概念题目: 1. 解释一下Vih,Vil,Vol ...

  9. IC/FPGA笔试/面试题分析(十)CMOS门电路

    目录 CMOS介绍 CMOS非门 CMOS与非门 CMOS或非门 CMOS或门 CMOS与门 CMOS与或式 CMOS或与非 CMOS介绍 MOS管分为NMOS和CMOS,二者成对出现在电路中,且二者 ...

最新文章

  1. pythontype函数使用_基础教程:python isinstance与type函数用法
  2. 计算机中的大端模式与小端模式
  3. VS2012 ddk驱动编译与虚拟机联机调试设置(vs调试驱动)
  4. 自动化测试如何解决验证码的问题
  5. 【APICloud系列|33】通过程序循环数据集合的时候闭包加入imageCache方法
  6. sql数字转换为字符_Python|图片转换为字符画^_^
  7. UVa11549计算器谜题[floyd判圈]
  8. php取结果集,php获取数据库结果集方法(推荐)
  9. Struts1 生成Action请求的几种方式分析
  10. mac下编译hadoop-3.0.3
  11. 2019-0331视觉SLAM的学习第一讲
  12. 查看 svn 中本地项目的版本
  13. java用hashmap_Java集合之HashMap的用法
  14. React redux
  15. 答寒冬的面试题(1)
  16. 标记重复值删除重复值
  17. 2021年低压电工新版试题及低压电工考试总结
  18. html倒计时插,JS倒计时插件
  19. 简体字和繁体字转换四种方法
  20. iis提示“另一个程序正在使用此文件,进程无法访问。(异常来自HRESULT:0x80070020) ”解决办法

热门文章

  1. Nginx使用http auth basic认证保护后台admin
  2. Tree Recovery--POJ 2255
  3. tl r402路由器设置_怎么进入路由器设置界面
  4. java 循环list 对象_java计算list集合中重复对象的次数及for循环内外创建对象
  5. python 静态方法 类方法 的作用_Python实例方法、类方法、静态方法的区别与作用详解...
  6. sun服务器清理内存日志_SUN 服务器消除黄灯告警灯详情教程
  7. iis服务器文件上传速度慢,windows 2008 R2 上传速度慢
  8. 嵌入式linux编译环境搭建,嵌入式Linux开发环境搭建
  9. oracle rman imp exp,Oracle-client支持exp|imp|rman
  10. 编程那么苦,学习那么累,这组漫画可以治愈(慢慢品味)