• 代码来自以下视频并做了适当修改,老师讲得好像有点问题,有空再研究

    • 添加了复位模块
    • 两个计数器都是计数到4翻转,是五分频,一个是上升沿一个是下降沿
    • 最后实现得是在下降沿翻转而不是上升沿

FPGA分频器的设计

module div5(input clk,input rst,output  div5);reg div0,div1;reg [2:0] cnt0=3'b0;reg [2:0] cnt1=3'b0;always @(posedge clk or posedge rst) beginif(rst == 1'b1)cnt0 <= 3'd0;else if(cnt0 == 3'd4)cnt0 <= 3'd0;elsecnt0 <= cnt0 + 1'b1;endalways @(negedge clk or posedge rst) beginif(rst == 1'b1)cnt1 <= 3'd0;else if(cnt1 == 3'd4)cnt1 <= 3'd0;elsecnt1 <= cnt1 + 1'b1;endalways @(posedge clk or posedge rst) beginif(rst == 1'b1)div0 <= 3'd0;else if(cnt0 == 3'd0 || cnt0 === 3'd4)div0 <= 1'b1;elsediv0 <= 1'b0;endalways @(negedge clk or posedge rst) beginif(rst == 1'b1)div1 <= 3'd0;else if(cnt1 == 3'd0 || cnt1 === 3'd4)div1 <= 1'b1;elsediv1 <= 1'b0;endassign div5 = div0 | div1;
endmodule
  • 仿真代码如下,修改别人代码真是太麻烦了,还不如自己写。。
module testbench();reg clk;reg rst;always #10 clk = ~ clk; initial beginclk = 1'b0; rst = 1'b1;#20rst = 1'b0;enddiv5 inst(.clk (clk),.rst (rst),.div5(div5));
endmodule
  • div0和div1相差半个周期,div0和div1相加得到div5

vivado和modelsim联合仿真实现奇分频相关推荐

  1. vivado和modelsim联合仿真实现偶分频

    首先创建一个工程,因为不在硬件上实现所以芯片型号随便选一个就行 创建design文件div6.v,代码来源于以下视频,稍作修改. 在复位时给输出信号clk6赋值为0,不然输出不确定 判断条件cnt为1 ...

  2. vivado和modelsim联合仿真实现占空比1:15的分频

    上一讲我们看了偶数分频 vivado和modelsim联合仿真实现偶分频,只需要在clk计数到一半时进行翻转即可,这是占空比为50%的情况,如果占空比任意数值就需要重新设计 16需要从0计数到15=4 ...

  3. FPGA学习之路—Vivado与Modelsim联合仿真

    Vivado与Modelsim联合仿真 笔者在学习FPGA过程中遇到了如何使用Vivado和Modelsim进行联合仿真的问题,特此记录. 首先确定版本 笔者Vivado用的是2018.3版本,先是随 ...

  4. 关于Vivado和Modelsim联合仿真的爱恨情仇

    关于Vivado和Modelsim联合仿真的爱恨情仇 心路历程 版本对应 仿真设置 错误解决 关于使用modelsim查看状态转移图 心路历程 vivado自带仿真工具虽然可以使用,但是每一次修改代码 ...

  5. 【FPGA】vivado和modelsim联合仿真

    文章目录 二.遇到的问题 三. 参考资料: 二.遇到的问题 1. 错误一: # ** Fatal: (vsim-3693) The minimum time resolution limit (10f ...

  6. Vivado和Modelsim联合仿真问题记录

    目录 写在前面 Modelsim安装 1.以管理员身份运行安装程序 2.去掉mgls.dll和mgl64s.dll文件"只读"属性,直接双击运行patch64_dll.bat 编译 ...

  7. Vivado与modelsim联合仿真(2018.3---10.6c)

    Vivado不同于Quartus自带仿真,但是个人觉得跑一些简单工程的仿真还是可以的,不过跑一些复杂工程的的仿真简直就是毫无体验感,建议使用第三方仿真软件进行联合仿真,这边主要推荐的Modelsim, ...

  8. Vivado与Modelsim联合仿真配置【图文并茂】

    文章目录 前言 一.编译仿真库 1.编译仿真库 2.仿真库设置 二.Vivado设置 1.在Vivado中单击Tools-Settings 2.将lib导入Modelsim 3.打开Modelsim, ...

  9. vivado 和 modelsim联合仿真

    1)随便打开一个vivado工程, 2)配置3rd party, 设置install path, D:\modeltech64_10.6e\win64, 设置default compiled libr ...

最新文章

  1. 《疯狂Java讲义》11
  2. Vim快捷输出查找寄存器的内容(去除\,\和\V)
  3. 10年前腾讯微信后台第一天提交的代码曝光!
  4. 一休和尚 小布偶 晴天娃娃
  5. HDFS设计思路,HDFS使用,查看集群状态,HDFS,HDFS上传文件,HDFS下载文件,yarn web管理界面信息查看,运行一个mapreduce程序,mapreduce的demo...
  6. 阿里云@广东:让我们一起上云!
  7. [转载] python创建集合set()_Python 集合set()
  8. Observer模式(观察者设计模式)
  9. FTP已登录,读取目录列表失败
  10. TCP协议和UDP协议
  11. mac上面比较好用的软件
  12. 2014年 教师全员远程培训挂机 教师远程全员培训挂机 教师继续教育挂机 挂机软件 全国通用版...
  13. 微信小程序跳转到另一个小程序(往返)
  14. Ajax实战(Ajax in action中文版)[一周内7折] →推荐 →新书
  15. テーブルTVARVとTVARVCについて
  16. docker修改服务器参数怎么办,Docker(32)- 如何修改 docker 容器的启动参数
  17. 高分7(GF7)卫星数据制作平原地区DSM/DEM(三)——可信度分割
  18. 2017年东南大学计算机博士申请经验分享
  19. aps计划排程的生产工艺模型
  20. 云原生管理平台如何掀起“云网联动”新篇章

热门文章

  1. 第D题 把手放在键盘上时,稍不注意就会往右错一位。
  2. 单片机如何在一数据包前加标识呢_单挑Google面试官,一上来就问我Chrome底层原理和HTTP协议(万字长文)...
  3. insert时调用本身字段_java中子类调用父类构造方法注意事项
  4. java简单增删改查_JAVA JDBC 简单的增删改查
  5. ant a-table 表格数据同步
  6. winform 往第三方传值 put
  7. js中的字符串方法与数组方法总结
  8. 【深度优先搜索】计蒜客:正方形
  9. maven setting文件_只用一招,让你Maven依赖下载速度快如闪电
  10. linux fdisk 4k,linux查看硬盘4K对齐方法