本文介绍ISE工具调用modelsim工具进行仿真,在modelsim工具中调用ISE工具中的仿真库文件。

1、产生ISE仿真库文件,在“Xilinx Design Tools->ISE Design Suite->ISE Design Tools->64-bit Tools->Simulation Library Complication Wizard”选项,点击打开。

2、在“Select Simulation”下面选中Modelsim版本,在这里选择“Modelsim SE”。在“Simulation Executable Location”下面填入Modelsim.exe所在的文件夹,如下图所示。

3、选择需要编译的语言,一般我们使用默认选项“Both VHDL and Verilog”,如图所示,点击“Next”。

4、接着如图所示,让我们选择需要编译的Xilinx FPGA和CPLD器件库。这里默认勾选上。点击"Next"。

5、如图所示,这一步默认,全选上。下面的两行留空即可。点击"Next"。

6、在“Output directory for compiled libraries”下面填入输出已编译库的路径,这里输入“C:\Xilinx\Xilinx_lib”,其他默认,之后点“Launch Compiled Process”,如图所示。

7、整个编译时间会长些,尤其是有很长时间编译进度会停留在0%,这是正常现象,需要耐心等待。

8、当编译进度到100%后,会跳转到如下图所示的界面,这里报告编译过程中的error和warning,warning可以忽略,error必须查看。若出现了最好回头看看相关路径是否出现了中文或空格、版本是否正确。笔者的编译edk出现了error,可以不用理会,我们开发过程中用不到它。点击"Next"即可。

9、最后一个界面是如图所示的编译报告的summary,点击“Finish”完成整个器件库的编译。

10、待库生成完毕之后,ISE的安装目录下会看到Modelsim.ini的文件。

11、把ISE的安装目录下的Modelsim.ini打开,拷贝阴影部分的部分(在modelsim,ini文件的第47行开始一直到“[vcom]”的上面一行,即第308行为止的内容)。

12、接着,在Modelsim的安装下,即“C:\modeltech_10.1a”,找到“modelsim.ini”后打开。在第12行的行尾,回车换行,然后将前面复制好的内容粘贴上去,如图所示。原有的内容都别动它,不要删掉。

粘贴后保存modelsim.ini文件。

13、还需要对ISE软件进行设置,打开ISE14.7。然后在ISE的菜单上找到“Edit Preferences...”,如图所示,单击打开Preferences设置窗口。

14、在左边的“Category”下选中“ISE General->Integrated Tools”。在Integrated Tools设置中,右边的“Model Tech Simulator”下面输入Modelsim.exe的文件路径,如图所示:

到此为止,联合仿真已经全部设置好了,接下来,就可以进入FPGA的开发和设计的阶段。

ISE14.7联合Modelsim10.1a设置相关推荐

  1. Xilinx ISE 14.7与Modelsim10.1a联合仿真

    分别安装Xilinx ISE 14.7与Modelsim10.1a Modelsim10.1a下载地址:https://pan.baidu.com/share/link?shareid=72194&a ...

  2. modelsim10.1a安装破解说明

    modelsim10.1a安装破解说明 安装包网盘下载链接:https://pan.baidu.com/s/1X9kUUXMCoikyjCQ_HKdD5g 提取码:3lfd 1.下载文件解压找到&qu ...

  3. Lattice Diamond与modelsim联合仿真环境设置

    Lattice FPGA开发环境在仿真时可以使用modelsim,相比于Diamond自带的Active-HDL仿真工具,具有更好的交互性以及更便捷的可操作性.与其他FPGA的开发环境一样,需要设置联 ...

  4. matlab与amesim匹配,AMESim-MATLAB联合仿真详细设置+部分问题解决步骤

    AMESim-Matlab 的联合仿真设置 1. 联合仿真的前期准备 1.1. AMESim 与Matlab 的版本匹配问题 AMESim 与Matlab 的联合仿真有两类接口: 接口(将AMESim ...

  5. ISE与Modelsim联合仿真关联设置

    1.modelsim.ini内容复制与粘贴: 在ISE安装路径:"C:\Xilinx\14.7\ISE_DS\ISE",找到modelsim.ini,如下图: 使用Notepad+ ...

  6. Vivado联合ModelSim仿真设置(附图步骤)

    目录 1.在Vivado中生成lib 2.生成库的选择 3.点击Compile,即可开始生成库文件 4.在Vivado中添加ModelSim调用设置 5.将Vivado的仿真库添加到ModelSim中 ...

  7. Modelsim10.5和ISE14.7安装及联合仿真

    前言 一直忙于课题,好久没有终于顺利毕业了(转行真不容易).马上参加工作了,由于转行的缘故,硕士的研究方向和IC相关较小,因此很多知识不得不从头学习.工欲善其事必先利其器,打算以ISE14.7作为编辑 ...

  8. vivado2018.3和modelsim10.6d进行联合仿真

    首先确认modelsim的型号是否在modelsim10.6c及以上,没有需要重新安装 问题分析 ERROR: [Vivado 12-5602] compile_simlib failed to co ...

  9. Oracle ODI 12c之多表联合查询以及定时任务设置

    本文介绍如何通过ODI进行多表联合查询并设置定时任务.准备工作如下: 准备物理方案和逻辑方案: 创建模型并通过"逆向工程"同步方案: 创建项目(根据实际情况创建或者使用现有的): ...

最新文章

  1. MPB:南京​湖泊所王建军组-​群落构建过程的定量指标——扩散-生态位连续体指数...
  2. 常用的云服务器,云数据库,高速缓存服务器
  3. Matrix-Tree (生成树计数)
  4. 朗读评价语言集锦_评语大全之朗诵评语艺术表现力
  5. org.mybatis.spring.MyBatisSystemException: nested exception is org.apache.ibatis.exceptions.TooManyR
  6. python编写应用程序错误_运行简单的Python Flask web应用程序时出错
  7. GitHub通过Git上传文件(夹)
  8. 如何 shuffle 一个 vector 以及 vectorvector
  9. python 自动执行 apdl_在高效的mann中从Python运行ANSYS Mechanical APDL
  10. 校园饭卡充值系统服务器配置,校园一卡通系统实施方案.doc
  11. 计算机考研浙江理工和江苏大学,杭州电子科技大和浙江理工大学这两所大学怎么样?哪所好?...
  12. 2018 蓝桥杯 省赛 B组 原题 C语言B组 第二题 第九届蓝桥杯真题+答案+解析
  13. AJAX技术和AXIO
  14. android wps翻译功能吗,Android版WPS Office将支持金山词霸即时翻译
  15. CATIA二次开发—参数那点事
  16. SystemUI 锁屏点击通知解锁界面重叠(bouncer界面与锁屏第一界面)
  17. openfoam一些报错的原因(持续更新)
  18. 短视频高流量的秘诀,上热门全靠这些技巧
  19. 渣土车识别检测系统-yolov5
  20. 37种传感器(六)之声音传感器模块+Stduino NanoUNO

热门文章

  1. 选好一个springboot计算机毕业设计选题目,让导师对你刮目相看
  2. 理财知识心得记录-1
  3. 【UP】锤子剪刀布(优化 AC)
  4. Arduino 四位数码管控制
  5. SQL语句优化,看到性能瓶颈。
  6. 截图翻译-python实现
  7. CEVA-X16自由式编程-2-编写第一个“应用程序”
  8. 关于服务器黑洞的说明
  9. 1.2 绝对误差、相对误差与有效数字
  10. 沉降观测曲线图 沉降观测汇总_沉降观测记录内容