目录

1、在Vivado中生成lib

2、生成库的选择

3、点击Compile,即可开始生成库文件

4、在Vivado中添加ModelSim调用设置

5、将Vivado的仿真库添加到ModelSim中



作者以前是用ISE+ModelSim的,现在切换到Vivado平台,奈何XSim实在用不惯,现在和大家分享下如何在Vivado里调用ModelSim仿真设置以及将Vivado的lib添加到Modelsim中

前期准备:Vivado2018.3,ModelSim-SE-10.6d

1、在Vivado中生成lib

打开Vivado2018.3软件,点击ToolsàCompile Simulation Libraries,生成库函数

2、生成库的选择

Simulator选择ModelSim Simulator

Language,Library,Family选择全部(All)

Compiled library location:可以选择在ModelSim的安装路径下,新建一个Vivado2018.3_lib的文件夹

Simulator executable path:选择ModelSim的启动路径

3、点击Compile,即可开始生成库文件

please wait…

可以看到有个警告,说是Vivado2018.3支持10.6c,而我们的是10.6d,UG973里面也有此描述,不过ModelSim的版本高问题不大,如果不是特别需求是可以使用的。

还在跑… …

可以看到,是有complete百分比的。

生成完毕,总共花了37mins

4、在Vivado中添加ModelSim调用设置

Vivado --> Tools --> setting,对应咱们的仿真软件和仿真库

箭头1:ModelSim的启动路径;

箭头2:刚刚我们生成的对应ModelSim的仿真库文件;

5、将Vivado的仿真库添加到ModelSim中

可以看到,ModelSim中还没有将Vivado的lib添加进来。下面介绍将如何将lib添加到ModelSim中。

5.1、在modelsim安装路径下有一个modelsim.ini文件,需要取消其只读属性,然后用记事本将其打开,找到“modelsim_lib = $MODEL_TECH/../modelsim_lib”处准备添加ip库路径。

5.2、找到刚才编译ip库的文件夹,目录下也会有一个modelsim.ini文件,如下图所示

打开库路径下的modelsim.ini文件并找到“;mvc_lib = $MODEL_TECH/../mvc_lib”,如下图所示:

下面对应的都是编译好的IP所处的路径,将这些全部复制,然后打开modelsim安装路径下的modelsim.ini文件并完成粘贴;

再次打开ModelSim,就会Vivado的lib全部添加到ModelSim中添加进来了。

Vivado联合ModelSim仿真设置(附图步骤)相关推荐

  1. matlab amesim 版本,高版本amesim—matlab联合仿真设置详细步骤.pdf

    高版本amesim-matlab联合仿真设置详细步骤 高版本AMESim-MATLAB 联合仿真设置详细步骤 说明:现以AMESimR12 .MATLAB2010a 为例说明,其他版本类似. 1.版本 ...

  2. Lattice库联合ModelSim仿真FIFO

    Lattice联合ModelSim仿真FIFO 前言 一.添加IP 二.库文件添加 (一)方式一:添加器件库到ModelSim (二)方法二:直接添加器件库到Libray,和tb.v在同一个目录下仿真 ...

  3. 紫光同创 FPGA 开发跳坑指南(三)—— 联合 Modelsim 仿真

        Modelsim 是 FPGA 开发中重要的 EDA 设计仿真工具,主要用于验证数字电路设计是否正确.紫光 Pango Design Suite 开发套件支持联合 Modelsim 仿真,这里 ...

  4. Vivado级联Modelsim仿真Re-launch问题

    Vivado级联Modelsim仿真出现修改设计代码后重新run do文件,波形没有随着代码修改而改变,这个问题博主之前没有注意到,因为把Vivado和Modelsim级联好后还没有试过仿真过,不过用 ...

  5. vivado使用modelsim仿真

    vivado使用modelsim仿真 vivado 修改vivado默认仿真器 将vivado中的IP编译成modelsim库 modelsim 效果 vivado 修改vivado默认仿真器 将to ...

  6. 基于FPGA的DDS算法实现(可调幅值,附ISE联合Modelsim仿真结果)

    基于FPGA的DDS算法实现(附ISE联合Modelsim仿真结果) 声明:这篇博客是在充分参考前人成果的基础上写成的,如有侵权,请联系我作进一步处理.此外,这是我第一次写博客,描述不准确之处敬请指出 ...

  7. QUARTUS联合modelsim仿真(quartus13.0)

    设置仿真软件(Modelsim/ Modelsim-Altera)路径 (1)点击tools ->Options (2)选择EDA Tool Options PS:看自己情况设置,使用独立Mod ...

  8. ISE联合Modelsim仿真失败的解决办法

    问题: ISE联合Modelsim进行功能仿真,但是Moldelsim唤起失败,显示错误为:编译库的路径未包含.如下: 解决: 由报错信息,错误原因为:编译库的路径未包含.需要添加编译库路径: 点击P ...

  9. QuartusII联合modelsim仿真时调用两个模块如何设置

    在QuartusII中Setting中simulation下添加Test bench如下图所示: 在Test bench中添加需要仿真调用的两个子模块代码如下图所示: 添加完后即可仿真!

最新文章

  1. Java 如何设计 API 接口,实现统一格式返回?
  2. SoapRpcMethodAttribute.OneWay属性
  3. oracle expdp导出教程,Oracle Expdp Impdp 数据泵导入导出
  4. 开发75条(写的不错) 选择自 churujianghu 的 Blog
  5. sqlserver中65535_sqlserver中 varchar 最大长度是多少?
  6. 链表笔试题汇编(一)
  7. [ASP.NET Core 3框架揭秘] 跨平台开发体验: Windows [上篇]
  8. matlab常用函数辨析
  9. (数据库系统概论|王珊)第六章关系数据理论-第一节:为什么要研究关系数据理论
  10. 一些值得好好学习的博客或者网站
  11. 游戏角色坐标的保存间隔_游戏岗位看这里鸭——
  12. win10怎么修改计算机用户名和密码,windows10系统如何更改开机密码
  13. 为女性们点赞!Google 为女性提供更多支持
  14. 妹妹呀,哥也是第一次当哥哥!
  15. vux安装时报vux-loader配置问题
  16. 手机计算机表白公式,表白公式数学公式
  17. mysql relay log.info_slave_relay_log_info
  18. MySQL- 经典面试题
  19. Java 8 辣么大(lambda)表达式不慌之-----(二)Predicate
  20. 2020美团面试真题解析

热门文章

  1. 使用Grunt搭建自动化的web前端开发环境-完整教程
  2. [排错]运行cocos2d自带的cocos2d-test-ios工程出现错误:找不到libcocos2d.a
  3. jQuery源码学习
  4. [概统]本科二年级 概率论与数理统计 第一讲 古典概型
  5. java hibernate错误org/dom4j/DocumentException、org/jboss/logging/BasicLogger、org/apache/lucene/index...
  6. mysql插入大量数据总结
  7. 下载CentOS源码、Hyper-V虚拟机联网、拷贝文件到Hyper-V虚拟机中的Linux系统
  8. 配置JDK时发生'javac'不是内部或外部命令的现象与解决过程
  9. 最新以及历史各版本 .NET Framework 的下载
  10. 前端模块化(一):模块化那些事儿