方波信号为:

傅里叶级数展开为:

程序运行结果:

程序代码:

clear

x = -6:0.01:6;

T = 4;

f = x;

for N = 1:length(f)

temp = rem(abs(x(N)),T);

if temp>1 && temp<3

f(N) = 0;

else

f(N) = 1;

end

end

% f(x) = 1/2 + sum(g(k,x)) (k=1,2,3,4......)

% g(k,x) = sinc(k/2)*cos(k*pi/2*x)

% MATLAB build-in function: sinc(x) = sin(x*pi)/(x*pi)

count = 9;

y = zeros(count, length(x));

for N = 1:count

k = 2*N-1;

if N==1

y(N,:) = 0.5 + sinc(k/2)*cos(k*pi/2*x);

else

y(N,:) = y(N-1,:) + sinc(k/2)*cos(k*pi/2*x);

end

end

row = ceil(sqrt(count));

colomn = ceil(count/row);

for N=1:count

subplot(row,colomn,N);

plot(x,f,'k');

hold on

h = plot(x,y(N,:),'r');

title(strcat(num2str(2*N-1),' harmonic'));

end

ROM存储1&sol;4周期正弦信号构造DDS

上周的时候,老师让编写一个简单的dds程序,本文说明了整个过程中我遇到问题以及一些个人的思考.初次接触FPGA,如有问题请多多指教~ 1.几个疑问,解决和没有解决的. 为何采用ROM而不是直接采用DD ...

&lbrack;转&rsqb; Matlab中给信号加高斯白噪声的方法

MATLAB中产生高斯白噪声非常方便,可以直接应用两个函数,一个是WGN,另一个是AWGN.WGN用于产生高斯白噪声,AWGN则用于在某一信号中加入高斯白噪声. 1. WGN:产生高斯白噪声 y = ...

【MATLAB】画信号频谱的子函数

输入信号序列和采样率,该子函数可以画出该信号的频谱图. function [f,spec,NFFT]=spec_fft_plot(sample,L,Fs) % 输入数据说明: % sample:信号序 ...

MATLAB信号与系统分析(五)&mdash&semi;&mdash&semi;连续时间信号的频谱分析

一.实验目的: 1.掌握傅立叶级数(FS),学会分析连续时间周期信号的频谱分析及MATLAB实现: 2.掌握傅立叶变换(FT),了解傅立叶变换的性质以及MATLAB实现. 二.利用符号运算求傅里叶级数 ...

MATLAB信号与系统分析(一)&mdash&semi;&mdash&semi;连续时间信号与系统的时域分析

一.连续时间信号的表示: 1.向量表示法: 在MATLAB中,是用连续信号在等时间间隔点的样值来近似表示连续信号,当取样时间间隔足够小时,这些离散的样值就能较好地近似出连续信号. 对于连续时间信号f( ...

MATLAB信号与系统分析(二)&mdash&semi;&mdash&semi;离散时间信号与系统的时域分析

一.离散信号的表示 1.一个离散信号需要用两个向量来表示: (1)离散信号的幅值 (2)离散信号的位置信息 2.用MATLAB实现离散信号的可视化 (1)不能利用符号运算来表示 (2)绘制离散信号一般 ...

Linux系统编程(24)——信号的生命周期

信号生命周期为从信号发送到信号处理函数的执行完毕. 对于一个完整的信号生命周期(从信号发送到相应的处理函数执行完毕)来说,可以分为三个重要的阶段,这三个阶段由四个重要事件来刻画:信号诞生:信号在进程中 ...

信号与系统实验序章0——MATLAB基础命令入门

本次开启新的系列,关于用Matlab实现常见信号和函数的生成和变换. 同时如果没有MATLAB基础,那么可以跟着本文一步一步学习Matlab的相关操作,本文旨在记录在信号与系统课程中MATLAB的学习 ...

MATLAB基本使用及SIMULINK建模仿真实验

MATLAB基本使用及SIMULINK建模仿真实验 这是我总结的操作方法: 1 )  M脚本文件的编写 1.新建M-file: 2.输入指令: 3.保存(注意:保存路径需要与工作路径一致) 2 )在S ...

随机推荐

Instruments&lowbar;Activity Monitor使用入门

Activity Monitor,官方解释为:(活动监视器)即实时显示CPU.内存和网络的使用情况,记录由虚拟内存大小测量的系统负载.用一句大白话来说,Activity Monitor类似Window ...

Add Digits

Given a non-negative integer num, repeatedly add all its digits until the result has only one digit. ...

Linux TOP命令详解

先来看一下执行以后的结果: 各项数值的含义如下: 第一行是任务队列信息. :: 当前时间 up days,: 系统运行时间,格式为天,时:分 user 当前登录用户数 load average: 0. ...

合并傻子&sol;&sol;区间dp

P1062 合并傻子 时间: 1000ms / 空间: 131072KiB / Java类名: Main 背景 从前有一堆傻子,钟某人要合并他们~但是,合并傻子是要掉RP的...... 描述 在一个园 ...

careercup-排序和查找 11&period;1

11.1 给定两个排序后的数组A和B,其中A的末端有足够的缓冲空间容纳B.编写一个方法,将B合并入A并排序. 解法: 已知数组A末端有足够的缓冲,不需要再分配额外空间.程序的处理逻辑很简单,就是逐一比 ...

设计模式六大原则——迪米特法则(LoD)

1.背景 在图书馆借书.刚開始的时候,直接跑到对应的楼层去,到里面去转,去找要借的书,在里面溜达半天才干找到:后来知道图书馆有一个电脑查询处.然后直接在电脑上输入想要借的书,电脑就会显示你想要借的书的 ...

2&period;bootstrap-全局css

1.Bootstrap 网格系统 Bootstrap 提供了一套响应式.移动设备优先的流式网格系统,随着屏幕或视口(viewport)尺寸的增加,系统会自动分为最多12列. 1.基本的网格结构 下面是 ...

MongoDB 组合多个条件查询(&dollar;and、&dollar;in、&dollar;gte、&dollar;lte)

一,问题描述 数据格式: id, timeStamp,count 条件1:查询 某个时间段 内的数据: timeStamp BETWEEN startTime AND endTime.比如 timeS ...

派生 de rive

''' de rive 派生 python2 (经典类|新式类) python3 (新式类) 1. What is derive? 什么是派生? 派生:子类定义自己新的属性,如果与父类同名,以子类自己 ...

POJ 2502 Subway &sol; NBUT 1440 Subway &sol; SCU 2186 Subway(图论,最短距离)

POJ 2502 Subway / NBUT 1440 Subway / SCU 2186 Subway(图论,最短距离) Description You have just moved from a ...

用MATLAB做周期三角波的傅里叶级数,Matlab 周期方波信号傅里叶级数展开相关推荐

  1. 周期三角波傅里叶级数例题_周期三角波的傅里叶级数

    周期三角波的傅里叶级数 例题求下图所示周期性三角波 xt的三角函数形式傅里叶级数,其中周期为 0T,幅值为 A.-T0/2 T0/2Atxt解在 xt的一个周期中, xt可表示为00 00222TAt ...

  2. Matlab 周期方波信号傅里叶级数展开

    方波信号为: 傅里叶级数展开为: 程序运行结果: 程序代码: 1 clear 2 3 x = -6:0.01:6; 4 T = 4; 5 6 f = x; 7 for N = 1:length(f) ...

  3. matlab通过傅里叶级数生成周期三角波

    matlab通过傅里叶级数生成周期三角波 引入 原理 1. 复数表示 2. 三角函数 matlab代码 复数 三角函数 方法1(不推荐,运行时间很长) 方法2 运行结果 引入 我们知道,任何周期函数都 ...

  4. matlab绘制三角波脉冲信号,单位冲激信号、单位阶跃信号、实指数信号、正弦信号、非周期矩形脉冲信号和非周期三角波脉冲信号的频谱...

    matlaB函数 连续周期三角波信号频谱图 N=10; n1=-N:-1; C1=-4*j*sin(n1*pi/2)/pi^2./n1.^2; C0=0; n2=1:N; C2=-4*j*sin(n2 ...

  5. c语言编程 三角波,周期矩形波、周期锯齿波、周期三角波,C语言程序.doc

    实用标准文案 精彩文档 周期矩形波的C语言代码 #include #include #include #include #define pi 3.1415926 #define E 2 #define ...

  6. 关于利用傅里叶级数拟合三角波和矩形波matlab

    首先要通过傅里叶变换算出来正余弦波的系数 matlab的代码如下: t = (0:9999)*0.001; %扫描时间 i=0; y=0; q=0.2; w=2*pi; for i=1:1:100   ...

  7. matlab设计一个三角波合成实验,(精选)信号与系统实验(MATLAB 西电版)实验10 周期信号的合成与分解课件.ppt...

    演示文稿演讲PPT学习教学课件医学文件教学培训课件 一.实验目的 二.实验原理三.涉及的MATLAB函数 四.实验内容与方法五.实验要求 六.思考题;一. 实验目的  (1) 在理论学习的基础上, ...

  8. Matlab写出三角波,matlab生成三角波数据

    学习并研究方波和三角波信号的卷积有关理论; 3.利用 Matlab 编程,完成方波和三角波信号的卷积及卷积过程演示课题; 4.写出课程设计报告,打印程序,给出运行结果.... 学习并研究方波和三角波信 ...

  9. 周期三角波频谱图_雷达物位计厂家告诉你,什么是调频连续波雷达物位计

    调频连续波(FMCW)雷达的原理为发送具有一定带宽.频率线性变化的连续信号,再对接收到的连续信号进行快速傅里叶变换,通过发送与接收信号的频率差来计算两个信号的时间差,最后与脉冲波雷达物位计一样,由时间 ...

最新文章

  1. 【C++】多态(早期绑定、后期绑定)、抽象类(纯虚函数)、虚析构函数
  2. 快速部署Telegraf Influxdb
  3. 基于MATLAB的面向对象编程(4)——类文件
  4. sap crm行业解决方案_SAP云平台和第三方CRM解决方案(火锅)互联
  5. 需要多快的速度,才能在抽走桌布之后保持桌面物体不掉?
  6. CSS字体设置的一些技巧(行高,加粗,强制换行等)
  7. windows10 网络热点
  8. 将本地项目上传到github详解
  9. iOS 中 .a 和 .framework 静态库的创建与 .bundle 资源包的使用
  10. Unity中英对照汉化
  11. Windows安装Redis新手教程
  12. 欧拉-拉格朗日方程(Euler -Lagrange equation)
  13. Linux系统字符终端自动登录问题
  14. matlab方差分析盒型图
  15. WebRTC系列-H.264预估码率计算
  16. 异或高斯消元+暴搜 lights 燈
  17. abl如何调用xbl里面的protocol
  18. c语言程序设计教程第二版李春葆,C语言程序设计教程.第2版
  19. 混合云是什么,混合云是怎么工作的?
  20. python条形图颜色设置_在matplotlib Python中设置不同的条形图颜色

热门文章

  1. Swift 周报 第十三期
  2. 华为云开天aPaaS服务全球落地,聚力并蓄不断创新,共建生态网络
  3. Office 2008 for Mac试用印象
  4. 巴贝奇的困境 by: 天涯ID--先生会算命V1
  5. MLCC陶瓷电容详解
  6. HTML+CSS大作业: 个人介绍网页制作作业 大学生简单个人静态HTML网页设计作品 DIV布局个人介绍网页模板代码 DW学生个人博客网站制作成品下载
  7. 对微机用户来说 为了防止计算机意外故障,对于微机用户来说,为了防止计算机意外故障而丢失重要数据,对重要数据应定期进行备份。下列移动存储器中,最不常用的一种是...
  8. 思科模拟器Boson NetSim安装使用教程
  9. 巨量引擎平台广告审核规则
  10. [Vijos1763]Wormhole (贪心/模拟?)