formality 中对clock gating 的处理需要设置以下两个params:

1.set verification_clock_gate_hold_mode low

该variable 允许formality 使用algorithms 去identifying and treating latch-free and latch-based clock gates that drive register clock pins。

默认情况,tool 不使用algorithms,结果可能有差异。value 有以下几种,选择下面的一个:

1.1 none(the default):不使用clock-gate algorithms

1.2 low : 考虑 latch-based clock gating (latch-and driving rising edge and latch-or driving falling edge) and latch-free clock gating, the gated clock与edge 之前的value 保持一致(edge 为en&clk driving rising edge and !en|clk driving falling edge)

1.3 high:考虑 latch-free clock gating,the gated clock与edge 之前的value 保持一致(edge 为en&clk driving rising edge and !en|clk driving falling edge)

1.4 any:在design 同时考虑high and low styles of clock gating

1.5 collapse_all_cg_cells:与low 相似,但也考虑primary output and black-box input pins 作为register clock pins。

2.set verification_clock_gate_edge_analysis true

当这个variable 设置true, the tool 使用clock edges 分析clock gated designs.允许tool 验证design 不同类型的clock gating。

当variable 设置true 时,忽略verification_clock_gate_hold_mode 的任何用法。

向时钟信号添加注释,指示其当前状态和下一状态值,在pattern viewer and logic cone schematics中可以看到。

需要注意的是, 当clock-gating verification还存在问题的时候,才可以使用verification_clock_gate_edge_analysis

LEC learning5: 对design 中的clock gating 处理相关推荐

  1. 时序逻辑中的clock gating

    门控时钟就是用与门控制时钟,使得不满足条件的时钟关闭,减少动态功耗 在always 模块中,34,35行不用写,否则生成的电路为第一种,如果不加则为正常的D触发器并且有门控时钟,是第二种,减少动态功耗 ...

  2. 秒杀数字后端实现中clock gating使能端setup violation问题

    秒杀数字后端实现中clock gating使能端setup violation问题 文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点.点击进去后出现任何损失与社区无关. 点击标题下「蓝色微信名」 ...

  3. 低功耗基础概念——ICG(Intergrated Clock Gating)

    转载来源: 低功耗设计基础:Clock Gating 后端Timing基础概念之:为何ICG容易出现setup violation? 为了节约动态功耗,最初有个十分简单的想法:在芯片实际工作过程中,有 ...

  4. STA -- clock gating check

    对于现在design中例化好的icg以及工具插进去的icg,不存在clock gating check的问题,因为clock gating 搞成了一个lib cell,不再是latch加上与门的组合. ...

  5. clock gating门控时钟

    在数字IC设计中,我们几乎都要用到门控时钟clock gating技术.使用门控时钟这种技术,我们可以改善电路的三个主要性能指标:速度,面积和功耗,特别是芯片的功耗.市场上主流的综合和静态时序分析工具 ...

  6. Safe or Glitch-Free Clock Gating

    Following is an example of a way to perform glitch-free clock gating. The clock is stalled in the hi ...

  7. 低功耗设计 Clock Gating

    背景介绍 芯片功耗组成中,有高达 40%甚至更多是由时钟树消耗掉的.这个结果的原因也很直观,因 为这些时钟树在系统中具有最高的切换频率,而且有很多时钟 buffer,而且为了最小化时钟 延时,它们通常 ...

  8. (数字ic)CDC设计实例 - ICG :integrate Clock Gating Cell

    CDC设计实例 - ICG :integrate Clock Gating Cell 1.Latch原理 2.ICG消除毛刺原理 1)en信号中的毛刺出现在clk低电平期间,如下图所示 2)en信号出 ...

  9. clock gating check

    在 sta 分析时,经常会碰到 clock gating cell (一般是 ICG cell 或者 latch)引起的 violation,这种 violation 很常见,而且往往很难修. 为什么 ...

最新文章

  1. UVa 412 - Pi
  2. Practical Vim 第一章 第二章
  3. 万年历插件软件测试,万年历软件测试报告
  4. 画蛇添足之error of activesync over usb link to pc
  5. Android之面试题精选,自己收藏下
  6. ubuntu 9 下 LAMP开发环境搭建
  7. JavaScript-this指向问题
  8. 开放计算机应用基础形考3,国家开放大学《计算机应用基础》考试与答案形考任务模块3 模块3 Excel 2010 电子表格系统—客观题答案.doc...
  9. 设为首页 添加到收藏夹 (share)
  10. 【滤波器设计】基于matlab GUI窗函数法高通+低通+带通带阻FIR滤波器设计【含Matlab源码 072期】
  11. [2018.10.25 T3] 旅程
  12. 企业全面运营管理沙盘模拟心得_企业经营沙盘模拟心得体会
  13. 基本初等函数求导公式
  14. chrome://dino/让恐龙无敌的代码
  15. postgresql数据库中多个Schemas互相访问
  16. vue实现下拉表单二级联动
  17. java毕业设计多少钱?不如自己尝试做一下
  18. JavaScript的调试工具
  19. 华为鸿蒙系统2.0是什么?Android的升级版?
  20. 结构动力学MATLAB编程例题,华工结构动力学编程 MATLAB

热门文章

  1. libVLC 视频裁剪
  2. 【单片机毕业设计】【mcuclub-jj-052】基于单片机的电脑散热底座的设计
  3. NYOJ 1239 引水工程 【MST 变形】
  4. 动态gmm模型学习笔记1-数据类型
  5. 知乎Markdown文件中的公式问题记录
  6. 从概念到底层技术,一文看懂区块链架构设计
  7. AX88179_USB 3.0_驱动 Linux集成记录
  8. 通信相关名词的英文缩写
  9. 推荐系统: 问题、算法与研究思路
  10. php默认ssl版本号,centos 6.5系统PHP环境下的CURL库的SSL Version默认为NSS,怎么变更为OpenSSL?...