timescale是Verilog HDL 中的一种时间尺度预编译指令,它用来定义模块的仿真时的时间单位和时间精度。格式如下:

`timescale 仿真时间单位/时间精度

注意:用于说明仿真时间单位和时间精度的数字只能是1、10、100,不能为其它的数字。而且,**时间精度不能比时间单位还要大。最多两则一样大。**比如:下面定义都是对的:

`timescale 1ns/1ps
`timescale 100ns/100ns

下面的定义是错的:

`timescale 1ps/1ns

时间精度就是模块仿真时间和延时的精确程序,比如:定义时间精度为10ns, 那么时序中所有的延时至多能精确到10ns,而8ns或者18ns是不可能做到的。
在编译过程中,timescale指令影响这一编译器指令后面所有模块中的时延值,直至遇到另一个timescale指令resetall指令。
在verilog中是没有默认timescale的,一个没有指定timescale的verilog模块就有可能错误的继承了前面编译模块的无效timescale参数.

verilog中的timescale用法相关推荐

  1. verilog中的timescale用法(转)

    /*********************************************************************/ 作者:IT小方 来源:CSDN 原文:https://b ...

  2. verilog 中的 timescale

    原文链接:https://blog.csdn.net/qq_16923717/article/details/81099833 timescale 的语法 timescale 是 Verilog 中的 ...

  3. verilog中的signed用法

    1.signed的真正作用是决定如何对操作数扩位的问题.verilog中的加法和乘法操作前,会先对操作数据扩位成结果相同的位宽,然后进行加法或者乘法处理.比如a/b都为4位数据,c为5位数据,c = ...

  4. Verilog中forever的用法

    在Verilog中,forever是一个循环语句,它会不断重复执行其中的代码块,直到模拟器停止. forever语句的语法如下: foreverbegin//执行代码块end 下面是一个简单的例子,展 ...

  5. Verilog 中的 ^ 的用法

    复习函数和任务中总遇到^,让我疑惑, cal_parity = ^address; 这个不是异或吗?怎么可以这么用呢? 难道意思是:cal_parity = cal_parity ^ address( ...

  6. Verilog中repeat的用法

    repeat 循环语句执行指定循环数,如果循环计数表达式的值不确定,即为 x 或z 时,那么循环次数按 0 处理.repeat 循环语句的语法为: repeat(循环次数表达式)         be ...

  7. Verilog中inout的用法(二)

    芯片外部引脚很多都使用 inout 类型的,为的是节省管腿.一般信号线用做总线等双向数据传输的时候就要用到 INOUT 类型了.就是一个端口同时做输入和 输出. inout 在具体实现上一般用三态门来 ...

  8. Verilog中inout的用法

    芯片外部引脚很多都使用 inout 类型的,为的是节省管腿.一般信号线用做总线等双向数据传输的时候就要用到 INOUT 类型了.就是一个端口同时做输入和 输出. inout 在具体实现上一般用三态门来 ...

  9. Verilog中generate的用法

    Generate 语句基本概念 generate 语句可以动态地生成 Verilog 代码,常用于编写许多结构相同但参数不同的赋值语句或逻辑语句,方便参数化模块的生成.generate 语句主要有以下 ...

最新文章

  1. JsonObject json字符串转换成JSonObject对象
  2. ntop和Cacti
  3. 苹果2010新品发布会图文实录
  4. redux和react-redux的使用详解
  5. 079_html5内联SVG
  6. 全球首个开放应用模型 OAM 开源 | 云原生生态周报 Vol. 23
  7. python教程:__file__、__name__、__dict__三个方法的作用
  8. win7计算机中丢失mfc120,mfc120.dll 64位
  9. float foo=42e1为什么错_为什么重写了equals()也要重写hashCode()
  10. POJ - 1251(最小生成树.krustal)
  11. 从二叉树到完全二叉树
  12. Failed to execute goal org.apache.maven.plugins:maven-surefire-plugin:2.12.4
  13. SharePoint 软件边界及限制
  14. maker mv rpg 源码_RPGMaker MV 入门教程
  15. [国家集训队]Tree I
  16. RandomUtils 随机生成中文姓名,性别,Email,手机号,住址
  17. python图片分析中央气象台降水_获取中央气象台网的气象数据 全流程技术解析(python 爬虫)...
  18. 找茬游戏html5源码,大家来找茬游戏以JS实现
  19. mysql错误1548 Cannot load from mysql.proc的最终解决方法
  20. 腾讯小程序 java编写_微信小程序 使用腾讯地图SDK详解及实现步骤

热门文章

  1. 今起可购除夕火车票 12306网站或迎“最强考验”
  2. 【Java 8】默认方法
  3. 计算机毕设源码网站基于SpringBoot的阳光线上交友系统
  4. 通用能力-智力题专项练习(3)
  5. 设计模式 - 适应设计模式 - Iterator模式(一)
  6. 驱动卸载时对系统线程的处理
  7. 电商平台对接系统设计
  8. yolov5识别工地安全帽
  9. 《JavaScript高级程序设计 (第3版)》学习笔记14:chapter_5 - 5 Function类型
  10. 牛客网 手机按键(模拟、清华机试)