供自己复习的笔记

快捷键

放置-P;旋转-R;镜像-V、H;连线-W;网络标签-N;总线条目-E;总线-B;接地-G;电源-F;

空脚-X;文本-T;节点-J

自动下一个-F4;实例编辑:Ctrl E

设置

栅格显示:options+preferences+grid display+lines

栅格捕捉:options+preferences+grid display+pointer snap to grid

背景色:options+preferences+colors/print+background

纸张设置:options+schematic page properties(推荐毫米、A3)

封装制作单位:pcb editor+setup+design parameter+design

跨页符序号:tools+annotate+add intersheet references

着重号:右击元件+user assigned reference+set

查看快捷键:tools+utilities+aliases/aunckeys

设置坐标原点:setup+change drawing origin

标注单位:manufacture+dimension environment+右键+parameters+text

操作

复制:Ctrl+拖动

移动:shift+拖动

剪切:Alt+拖动

布置总线:总线条目+总线(按shift连续布置)+网络标签(p1[0:7]或p1[0.7]或p1[0-7])

插入图片:place+picture

新建库:file+new+library

新建元件原理图:右击mylibrary+new part(save as mylibrary)

阵列:place+pin array

新建标题模板:右击mylibrary+new symbol(选title)

标题设置:options+design template+title block

更新封装:design cache+元件(update)

选择过滤器:右键+selection filter

重置元器件位号:tools+annotate+reset part references to “?”

自动编辑元器件位号:tools+annotate+incremental reference update

设计规则检查:右击*.dsn(design resources)+design rules check

查看错误:右击*.drc(outputs)+edit

元件总览:左击*.dsn(design resources)+edit+browse+parts+use instances

网络表输出:左击*.dsn(design resources)+tools+create netlist+PCB

其他类型网络表输出:左击*.dsn(design resources)+tools+create netlist+other

例:orTelesis64.dll(formatters)+{PCB Footprint}!{PCB Footprint}(PCB Footprint)

boom清单:tools+bill of materials(line item definition改输出项)

封装另存:打开*.dra+allegro PCB designer+file+save as

焊盘另存:tools+padstack+modify design padstack+右击焊盘+edit+file+save as

数据另存:file+create symbol、create device

抽取封装:打开*.brd+file+export+libraries

焊盘制作:packstack editor+start+drill+design layers+mask+layers

封装制作:

确定路径-pcb editor+setup+user preferences+paths+library+devpath、padpath、psmpath

新建文件-file+new+package symbol

放置焊盘-layout pins

更改焊盘位号-edit+text(find+all off)

焊盘更新-tools+padstack+replace

导入网表:file+import+logic/netlist+design entry CIS

放置元件:place+quickplace或manually

横切图:manufacture+cross section chart+(embedded component legend)

标注:manufacture+dimension environment(删除:右键+delete dimension)

倒角:manufacture+drafting+fillet(圆角:chamfer)

route keepin:edit+Z-copy+route keepin

ESpiece模型:analyze+model assignment+create model+create ESpieceDevice model

创建差分对:CMgr(图标)+net+选中+右键+create+differential pair+create+close

指定布线规则:CMgr(图标)+physical+右键dsn+create+physical cset

铜皮:edit+split plane+create

覆铜设置:shape+global dynamic params

钻孔图:manufacture+NC+drill legend

钻孔文件:manufacture+NC+NC drill(auto tool select)

孔路径文件:manufacture+NC+NC route(separate file)

artwork:manufacture+artwork

元件

电阻-R;电容-C;电感-INDUCTOR;二极管-BAT;单刀开关-SEN;单排连接器-CON;

保险丝-FUSE;晶振-ZTB;喇叭-SPEAKER;三极管-2N(transistor)

备注

图标:颜色鲜艳有电气属性,黑色的无电气属性

网络标签:

net alias(N)-优先级3

off-page(箭头与输入输出方向一致、可跨页)-优先级2

port(可跨页)-优先级1

取消空脚:再放置一次或直接连接(会有警告)

取消节点:再放置一次(对自动生成的点无效)

封装命名:不支持中文和部分字符

三极管:注意原理图和封装脚对应

从ultra librarian获取:

下载:cadence(allegro design、orCAD capture)+download now

原理图:orCAD+file+import+library XML+XML file+生成*.olb

封装:记事本打开*.bat+allegro.exe路径+运行*.bat+另存为*.dra

软件:

原理图绘制-capture cis

焊盘绘制-padstack editor

封装制作-pcb editor

光绘文件(stm32四层):

txt:art_param.txt+nc_param.txt

art:四层板.art+Past.art+Silk.art+Sold.art+Outline.art+Drill.art

stm32:stm32.drl+stm32.rou

元件库

AMPLIFIER.OLB

共182个零件,存放模拟放大器,IC,如LM386,MAX457

ARITHMETIC.OLB

共182个零件,存放逻辑运算IC,如54HC147,74HC147

ATOD.OLB

共618个零件,存放A/D转换IC,如AD7580,ADC08031

BUS DRIVERTRANSCEIVER.OLB

共632个零件,存放总线驱动IC,如74LS366,74LS440等数字IC

CAPSYM.OLB

共35个零件,存放电源,地,输入输出口,标题栏

CONNECTOR.OLB

共816个零件,存放连接器,如CON10,CONN PWR 2-P,HEADER9

COUNTER.OLB

共182个零件,存放计数器IC,如54AC191,74F168

DISCRETE.OLB

共872个零件,存放分立式元件,如电阻,电容,电感,开关,变压器等常用零件

DRAM.OLB

共623个零件,存放动态存储器,如TM2242,HM514256

ELECTRO MECHANICAL.OLB

共6个零件,存放马达,断路器等电机类元件

FIFO.OLB

共177个零件,存放先进先出资料暂存器,如54LS222,67413

FILTRE.OLB

共80个零件,存放滤波器类元件,如LMF100,LTC1059

FPGA.OLB

存放可编程逻辑器件,如A1225A

GATE.OLB

共691个零件,存放逻辑门(含CMOS和TLL)

LATCH.OLB

共305个零件,存放锁存器,如4096,5475,54HC375

LINE DRIVER RECEIVER.OLB

共380个零件,存放线控驱动与接收器。如74ACG241,ADM203

MECHANICAL.OLB

共110个零件,存放机构图件,如SIPSOC-10,ZIFSOC-8x2

MICROCONTROLLER.OLB

共523个零件,存放单晶片微处理器,如80C51FA,AT89C52

MICRO PROCESSOR.OLB

共288个零件,存放微处理器,如80387,HD63C09

MISC.OLB

共1567个零件,存放杂项图件,如电压表(METER V),微处理器周边(Z80-PIO)等未分类的零件

MISC2.OLB

共772个零件,存放杂项图件,如54HC423,L298等未分类零件

MISCLINEAR.OLB

共365个零件,存放线性杂项图件(未分类),如14574,AD534,LM334

MISCMEMORY.OLB

共278个零件,存放记忆体杂项图件(未分类),如28F102,M28V101

MISCPOWER.OLB

共222个零件,存放高功率杂项图件(未分类),如A2919,EL7272,LT1161

MUXDECODER.OLB

共449个零件,存放解码器,如54F253,54HC153,74AC139

OPAMP.OLB

共610个零件,存放运放,如AD712,OP37,OPA660

PASSIVEFILTER.OLB

共14个零件,存放被动式滤波器,如271MT,NFM41,EMIFILTER

PLD.OLB

共355个零件,存放可编程逻辑器件,如14H4,20V8

PROM.OLB

共811个零件,存放只读记忆体运算放大器,如24LC64,27C512

REGULATOR.OLB

共549个零件,存放稳压IC,如78xxx,79xxx

SHIFTREGISTER.OLB

共610个零件,存放移位寄存器,如100341,4021

SRAM.OLB

共691个零件,存放静态存储器,如54S301,74C89

TRANSISTOR.OLB

共210个零件,存放晶体管(含FET,UJT,PUT等),如2N1070,2N1613

cadence基本操作相关推荐

  1. cadence软件_IC苦逼搬运工入职之——Cadence基本操作(1)

    一入职场深似海,从此微软是路人.作为入职萌新,工作以来最棘手的就是环境问题--这不仅包括枯燥束缚的996,还有IC苦逼搬运工整天对着的Cadence操作软件,真是头大.下面总结几点很实用的操作: 1. ...

  2. cadence——基本操作5

    二十一.同时DRC也可以在上文二十条界面中设置,点击栏目前的颜色块即可删除错误: 二十二.有时DRC会报错,比如V S 错误,可能原本是正常的过孔到shape距离,此时在修改一些参数后会突然报错,可以 ...

  3. cadence——基本操作6

    二十六.关闭一个颜色管理: 有时焊盘会出现引脚位号,在visibility中关闭所有层后还是会显示如图所示的焊盘,关闭需要在此处关闭: 二十七.走线时怎么保证两个PIN之间的连线是直线而不会弯曲: 在 ...

  4. Cadence基本操作之——SOIC封装

    一.创建shape 1.1 创建焊盘shape 1,新建shape symbol 2,先绘制矩形 shape -> rectangular 3,绘制圆形 shape ->circular, ...

  5. cadence——基本操作1

    一.调节PCB器件最小移动栅格距离:右键 > quick utilities > grids >定义Spacing: 注:各层都有最小栅格设置,不能只设置一层的: 同时,最小的栅格距 ...

  6. Cadence基本操作之——BGA封装库制作

    一.封装概述 从手册可以获得封装尺寸以及单位 二.制作BGA焊盘 1 ,焊盘计算 焊盘直径为0.75*0.8=0.6mm,因为BGA为球面,没必要按照最大值来画. 2,运行Pad Designer 3 ...

  7. Cadence入门笔记(1):创建元件库的基本操作!

    做硬件的同学都知道,在电路板到来的初期,我们需要各种各样的测试,这个FPGA为什么不能工作啦,DSP为什么链接不上丫之类的,需要我们根据电路图的版图来测试这些芯片的供电情况,以及电路的各个部分的电压等 ...

  8. Cadence(virtuoso)集成电路设计软件基本操作——库和库文件

    Cadence(virtuoso)集成电路设计软件基本操作--库和库文件 学习目标 cadence中库类型及分类 cadence库结构及其各层级含义 库和库分类 基准库: sample存储普通符号;U ...

  9. Cadence(virtuoso)集成电路设计软件基本操作——库管理

    Cadence(virtuoso)集成电路设计软件基本操作--库管理 学习目标 进入库管理的方法 添加.移动.改名等库操作 进入Library manager界面 注意:Library Path Ed ...

最新文章

  1. python从入门到项目实战李兴华网盘_贺胜军Python轻松入门到项目实战【经典完整版】...
  2. Java的知识点28——文件编码、IO流的实例
  3. 如何使用React Hook
  4. 从Setting.settings到Resource.resx
  5. Jmeter----连接mysql数据库及常见问题处理
  6. Apache Ivy
  7. 【qduoj - 夏季学期创新题】最长公共子串(水题暴力枚举,不是LCS啊)
  8. 跟我一起学docker(15)--监控日志和日志管理
  9. Java反射异常处理之InvocationTargetException
  10. c语言中,x-y,'105',ab,7f8那个是正确的,C语言习题册
  11. Java NIO和IO的区别
  12. 三星android智能手机usb驱动程序,三星手机驱动官方下载
  13. PyTorch 深度学习入门
  14. canvas抖音八卦时钟,轻喷
  15. html thead作用,HTML thead 标签定义和用法详细介绍
  16. 雪上加霜!吴秀波在《雪豹》中被除名
  17. 2018-2-13-win10-uwp-活动磁贴
  18. MPC5744P-UART(LIN)模块
  19. JDBC与ODBC的区别与应用
  20. 微信公众号运营数据分析

热门文章

  1. 主码,候选码,外码,全码,主属性,非主属性的区别
  2. Unity游戏开发:粒子系统
  3. jsp+servlet实现商城购物车功能
  4. python的分支语句if基本用法
  5. (13.1.3.10)PMBOK之三:十大知识领域之相关方管理
  6. 【教程】如何优雅地使用vs code代替keil开发51单片机/STC单片机
  7. elasticSearch常见问题答疑
  8. warmup_csaw_2016
  9. android头像设置
  10. _snprintf_s