module syn_fifo_tb();//--------参数及端口定义,注意输入与时钟复位要定义为reg型---------parameter DATA_WIDTH = 8;parameter DATA_DEPTH = 8;reg i_clk;reg i_rst;//write portreg wr_en;reg [DATA_WIDTH - 1 : 0] wr_data;wire wr_full;//read portreg rd_en;wire [DATA_WIDTH - 1 : 0] rd_data;wire rd_empty;//------------------------时钟激励,采用forever-----------///initial begini_clk = 0;forever begin#5 i_clk = ~i_clk;endend//数据初始化及后续输入,包括复位,采用@(negedge i_clk)或者@(posedge i_clk)的方式在时钟边沿添加输入//initial begini_rst = 1;wr_en = 0;rd_en = 0;@(negedge i_clk) i_rst = 0;@(negedge i_clk) wr_en = 1;wr_data = $random;repeat(3) begin@(negedge i_clk)wr_data = $random;  end@(negedge i_clk)wr_en = 0;rd_en = 1;repeat(3) begin@(negedge i_clk); end@(negedge i_clk)rd_en = 0;wr_en = 1;wr_data = $random;repeat(7) begin        @(negedge i_clk)wr_data = $random;end#20 $finish;end//实例化模块syn_fifo #(.DATA_WIDTH(DATA_WIDTH),.DATA_DEPTH(DATA_DEPTH))inst_syn_fifo(.i_clk    (i_clk),.i_rst    (i_rst),.wr_en    (wr_en),.wr_data  (wr_data),.wr_full  (wr_full),.rd_en    (rd_en),.rd_data  (rd_data),.rd_empty (rd_empty));endmodule

这里用了一个之前的fifo的激励文件作为模板。

testbench通用模板相关推荐

  1. Windows PE变形练手3-把通用模板机器码直接覆盖目标PE

    把通用模板机器码直覆盖目标PE 这个地方真是尝试了好久,遇到很多坑点,Win PE那本书上的东西有点不够,也就直接写书上的例子会发现很多地方不是说的那样,里面提供的信息太少了,就比如里面并没有提被注入 ...

  2. C++设计模式--单例模式(Singleton)及单例通用模板

    概述 C++中的单例模式应该是设计模式中最简单的了,在编码中常见到.那么,简单的总结下 C++中的单例模式写法,以及根据单例模式扩展后的一些写法,最后还有单例的通用模板,可用于快捷创建一个单例类. 单 ...

  3. springboot使用jxls导出excel___(万能通用模板)--- SpringBoot导入、导出Excel文件___SpringBoot整合EasyExcel模板导出Excel

    springboot使用jxls导出excel 实现思路: 首先在springBoot(或者SpringCloud)项目的默认templates目录放入提前定义好的Excel模板,然后在具体的导出接口 ...

  4. html 说明文档样式,通用模板说明文档

    模板文件组成 图1-1 如图1-1,基本模板文件包含main.htm,listcolumn.htm,displayinfo.htm(三个HTML文件是必须的),和 样式表文件style.css.缩略图 ...

  5. Axure高保真移动端电商app通用模板、axure高保真移动端教育app通用模板、旅游app通用模板、电商app、教育app、旅游app 、直播、在线教育、旅游、Axure原型、rp原型

    Axure高保真移动端电商app通用模板.axure高保真移动端教育app通用模板.旅游app通用模板.电商app.教育app.旅游app .直播.在线教育.旅游.Axure原型.rp原型 Axure ...

  6. prd移动端通用产品需求文档+Axure高保真app社交订餐通用prd文档+产品业务说明+PRD功能性需求+移动端公工通用模板说明+需求分析+竞品分析+产品结构图+产品业务流程图+产品信息图+餐饮系统

    作品介绍:prd移动端通用产品需求文档+Axure高保真app社交餐饮通用prd文档+产品业务说明+通用prd文档+移动端公工通用模板++全局说明+需求分析+竞品分析+产品结构图+产品业务流程图+产品 ...

  7. 移动端通用元件库+app通用元件库+数据展示+操作反馈+通用模板+数据录入+列表页+表单页+详情页+通用版布局+移动端手机模板+业务组件+反馈组件+展示组件+表单组件+导航组件

    移动端通用元件库+app通用元件库+数据展示+操作反馈+通用模板+数据录入+列表页+表单页+详情页+通用版布局+移动端手机模板+业务组件+反馈组件+展示组件+表单组件+导航组件 原型展示及下载地址:h ...

  8. Axure通用web端元件库rplib文件格式+移动端app通用元件库rplib文件格式+电脑端动态可视化图表元件库+数据展示+操作反馈+通用模板+数据录入+列表页+表单页+详情页+通用版布局

    作品介绍:Axure通用web端元件库rplib文件格式+移动端app通用元件库rplib文件格式+电脑端动态可视化图表元件库+数据展示+操作反馈+通用模板+数据录入+列表页+表单页+详情页+通用版布 ...

  9. 期末作业代码网页设计代码——网站商城后台通用模板(30页) 大学生后台模板网页作品商城网页设计作业模板 学生网页制作源代码下载

    HTML5期末大作业:商城后台网站设计--网站商城后台通用模板(30页) 大学生后台模板网页作品商城网页设计作业模板 学生网页制作源代码下载 常见网页设计作业题材有 个人. 美食. 公司. 学校. 旅 ...

  10. php图文排版样式模版,20款简约样式,多种类型文章的通用模板!

    原标题:20款简约样式,多种类型文章的通用模板! 各位小伙伴们,大家好 今天是2019年第一个工作日 祝大家在新的一年工作愉快 同时,i排版编辑器也上线了样式 这次上线了20款简约样式 10款图片样式 ...

最新文章

  1. 顺序Guass消去法求解线性方程组的代码实现
  2. Flex中如何通过horizontalTickAligned和verticalTickAligned样式指定线图LineChart横竖方向轴心标记的例子...
  3. Using breakpad in cocos2d-x 3.2,dump信息收集
  4. 中国石化:五年要建充换电站5000座
  5. 浅析foreach原理
  6. JZ6-旋转数组的最小数字
  7. IOS开发比较实用的框架总结(上)
  8. 数据库表结构设计方法及原则
  9. CMYK与RGB参数转换公式及转换方法
  10. 初生牛犊不怕虎的我记录一次 web面试
  11. 将秒针声音加入JAVA_喜马拉雅联合秒针系统发布声音流广告报告
  12. 华为应用市场业务介绍
  13. php 上传 照片流,在 iPhone 上使用“我的照片流”的方法!
  14. 新东方托福词汇(List 36 ~ List 40)
  15. linux shell 10进制转16进制
  16. 通过opencv实现将图片转换为视频
  17. 设计模式讲解与代码实践(三)——工厂方法
  18. windows下Administrator与Guest账号权限被互换,无法获取管理员权限
  19. 解析旅游商业模式---共享旅游电商:这个行业大有可为!
  20. time wait和close wait

热门文章

  1. 《艾恩ASP文件上传类》开发和使用总结
  2. 老罗Android开发视频教程_基于JavaSE开发(适合Android初学者菜鸟级别的人)
  3. 几种常见的Java排序算法
  4. Python安装包下载方式
  5. 有哪些知名的短信接口提供商
  6. Adobe Reader PDF阅读器背景设置为护眼豆沙色
  7. StarGate(星际之门)观看指南
  8. 西门子V90 PN伺服EPOS模式+FB284功能库使用示例教程(图文)
  9. 【数字图像处理】(matlab入门1)
  10. 【字体分享】设计师常用的日系中文字体