单选题(每题2分 共20题)

1、一个八位D/A转换器最小电压增量为0.01V,当输10011100时,输出电压为(   )V

A. 1.28

B. 1.45

C. 1.54

D. 1.56

正确答案:D
新芯设计:基础计算
二进制 10011100 转换成十进制就是 156,“最小电压增量”的意思就是精度,二进制精度等于 1

2、下述概念中不属于面向对象这种编程范畴的是(   )

A. 对象、消息

B. 继承、多态

C. 类、封装

D. 过程调用

正确答案:D
新芯设计:
这里,面向对象程序设计(Object Oriented Programming,OOP)是一种计算机编程架构。OOP的一条基本原则是计算机程序由单个能够起到子程序作用的单元或对象组合而成。OOP达到了软件工程的三个主要目标:重用性、灵活性和扩展性。OOP=对象+类+继承+多态+消息,其中核心概念是类和对象。

3、组合逻辑电路通常由(   )组合而成

A. 记忆元件

B. 门电路

C. 计数器

D. 以上都正确

正确答案:B
新芯设计:基本知识
A 和 C 是属于时序电路的范畴

4、三极管作为开关时工作在什么区域(   )

A. 饱和区+放大区

B. 击穿区+截止区

C. 放大区+击穿区

D. 饱和区+截止区

正确答案:D
新芯设计:
三极管一共有放大区、饱和区、截止区,开关状态是饱和区 + 截止区,放大状态是放大区

5、在 C 语言中(以 16 位 PC 机为例),5种基本数据类型的存储空间长度的排列顺序为(   )

A. char<int<long int<=float<double

B. char=int<long int<=float<double

C. char<int<long int=float=double

D. char=int=long int<=float<double

正确答案:A
新芯设计:C 语言的基础知识,推荐大家可以学习了解一下
char:这是字符变量,8 比特表示的范围是 128
int:这是整数变量,16 比特
long int:这是长整数变量,32 比特
float:这是单精度浮点数变量,32 比特
double:这是双精度浮点数变量,64 比特

6、下面表达式计算结果为4的是(   )

A. 11/3

B. 11.0/3

C. (float)11/3

D. (int)(11.0/3+0.5)

正确答案:D
新芯设计:
这题目考察 Verilog 和 C 的混合吗
A:这是除法,仅保留整数,去除小数,结果等于 3
B C:同理

7、关于 this 指针使用做法正确的是(   )

A. 保证每个对象拥有自己的数据成员,但共享处理这些数据的代码

B. 保证基类私有成员在子类中可以被访问

C. 保证基类保护成员在子类中可以被访问

D. 保证基类公有成员在子类中可以被访问

正确答案:A
新芯设计:基础定义

8、在 timescale 1ns/10ps 的条件下,仿真器在 6125ps 时的打印时间格式为(   )

A. 6

B. 61

C. 613

D. 6125

正确答案:C
新芯设计:考察基础知识,但是挺有意思的
这里 1ns 表示单位,10ps 表示精度,6125ps 最大精度是 10ps,因此 5 会被舍弃掉

9、下列描述中采用时钟正沿触发且reset异步下降沿复位的代码描述是(   )

A. always@(posedge clk or negedge reset) if(reset)

B. always@(posedge clk or reset) if(reset)

C. always@(posedge clk or negedge reset) if(!reset)

D. always@(negedge clk or posedge reset) if(resent)

正确答案:C
新芯设计:送分题
值得注意的是,这里的 if 应该是 !reset,因为如果是 reset 的话,那么复位下降沿触发也就没什么作用的,最终还是需要等待时钟上升沿来触发复位了

10、一个数组元素 a[l] 与下面哪个表示等价(   )

A. *a+1

B. a+1

C. *(a+1)

D. &a+1

正确答案:C
新芯设计:指针定义

11、在 systemverilog 中声明随机变量的关键词为(   )

A. randa

B. randc

C. randomize

D. urandom_range

正确答案:B
新芯设计:rand 和 randc

12、在设计中出现端口和驱动该端口位宽不匹配的情况,verilog/systemverilog 默认处理方法错误的是(   )

A. 如果端口的位宽和驱动该端口的信号位宽相同,则该值可以无变化的通过该端口

B. 如果驱动端口比端口的接收端的位宽多,则驱动信号的高位bit会被截断

C. 如果驱动端口比端口的接收端的位宽多,则驱动信号的低位bit会被载断

D. 如果驱动端口比端口的接收端的位宽少,则依据 verilog 的赋值规则进行高比特位扩展

正确答案:C
新芯设计:重要且基础的题目
左端位宽比较大的话,右端截取高位
左端位宽比较小的话,右端高位补零(这里其实也不一定补零,具体看符号数)

13、 linux下,删除文件命令是(   )

A. mkdir

B. rm

C. mv

D. del

正确答案:B
详细解析:
A:新建文件夹
B:删除文件
C:移动文件
D:Linux 文件操作没有 del 命令(PS:SVN 有 del 没有 rm)

14

timescale 1ns/1ps

fork

begin #1;end

begin #2;end

join_none

$display($time);

上述代码中需要等待多长时间?(   )

A. 1ns

B. 2ns

C. 0ns

D. 3ns

正确答案:B
详细解析:
这里主要是仿真验证的相关知识,fork-join 是并行执行的,所以里面的延时就取决于最大的延时,即 2ns

15、构成C语言程序的基本单位是(   )

A. 函数

B. 过程

C. 子程序

D. 子例程

正确答案:A
新芯设计:基本定义

16、在veriliog HDL的always块语句中的语句是如何执行的(   )

A. 顺序

B. 并行

C. 顺序或并行

D. 不一定

正确答案:D
新芯设计:这里应该是不一定的,具体要看阻塞赋值 = 还是非阻塞赋值 <=

17、在C语言中,一个int型数据在内存中占2个字节,则unsigned int型数据的取值范围为(   )

A. 0~255

B. 0~32767

C. 0~65535

D. 0~2147483647

正确答案:C
新芯设计:计算题

18、以下哪些是第三代移动通信标准(   )

A. EDGE

B. TD-SCDMA

C. LTE

D. WIFI

正确答案:B
详细解析:
概念题,第三代移动通信系统主要标准有 WCDMA、CDMA2000 和 TD-SCDMA。

19、循环体至少被执行次的语句是(   )

A. for循环

B. while循环

C. do循环

D. 任何一种循环

正确答案:C
详细解析:
for 先判断,再执行
while 看情况
do 先执行,再判断

20、Verilog HDL中信号没有定义数据类型时,缺省为什么数据类型(   )

A. reg

B. wire

C. tri

D. z

正确答案:B
详细解析:最基础题目

多选题(共15题,每题两分)

21、下面哪个选项不可以消除hold time violation(   )

A. 插入buff

B. 提高时钟频率

C. 降低时钟频率

D. 提高电压

正确答案:BCD
详细解析:

22、下面那些是基于Systemverilog的验证方法学? (   )

A. VMM

B. OVM

C. UVM

D. AVM

正确答案:ABC
详细解析:

23、下面哪种方式可以对时序进行检查(   )

A. 即时断言

B. 开发断言

C. 建立时间约束

D. 保护时间约束

正确答案:BCD
详细解析:

24、芯方中设计中功耗密度过大,会产生什么不良影响(   )

A. Hot-spot

B. 电压下降

C. 不满足时序约束

D. 封装成本变高

正确答案:ACD
详细解析:

25、数字电路的验证维度有哪些(   )

A. 完备性

B. 复用性

C. 高效性

D. 满足性能

正确答案:ABCD
详细解析:

26、在验证中下列关于代码覆盖描述错误的是(   )

A. 代码覆盖率包括语句覆盖率

B. 代码覆盖率包括条件覆盖率

C. 代码覆盖率包括功能

D. 代码覆盖率达到100%说明所有Bug已清除

正确答案:CD
详细解析:

27、关于systemerilog中new操作的下列描述中,错误的是(   )

A. 它可以用来动态创建对象和对象数据

B. 使用它创建对象时调用构造函数

C. new操作被定义成一个需要有返回类型的函数

D. 使用它创建对象数组时必须指定对象的初始值

正确答案:BD
详细解析:

28、以下同步逻辑电路和异步逻辑描述正确的是(   )

A. 同步逻辑电路是时钟之间没有固定的因果关系,异步逻辑电路是各时钟之间有固定的因果关系

B. 同步逻辑是由时序电路(寄存器和各种触发器)和组合逻辑电路构成的电路,其所有的操作都是在严格的时钟控制下完成的。

C. 异步逻辑电路不同时钟域之间不需要进行时钟同步

D. 异步逻辑可能存在多个时钟信号,或者不存在时钟信号,电路中一个逻辑的变化就会引起整个电路逻辑的变化。

正确答案:BD
详细解析:

29、超大规模集成电路计中,为了高速设计,采取以下哪些措施(   )

A. 流水线设计

B. 并行化设计

C. 资源共享

D. 串行化设计

正确答案:AB
详细解析:

30、竞争冒险现象可以怎样消除?(   )

A. 加入滤波电容

B. 引入同步机制

C. 不加选通信号

D. 增加冗余逻辑

正确答案:ABD
详细解析:

31、下列关于Setup/Hold Time说法正确的是? (   )

A. 如果DFF的Hold时间不满足,通常可以通过增加数据路径延时来解决

B. 如果DFF的Setup时间不满足,通常可以通过增加数据路径延时来解决

C. 如果DFF的Hold时间不满足,通常可以通过增加时钟路径延时来解决

D. 如果DFF的Setup时间不满足,通常可以通过增加时钟路径延时来解决

正确答案:AD
详细解析:

32、下列数据类型中属于四状态类型的有哪些(   )

A. int

B. logic

C. bit

D. time

正确答案:BD
详细解析:

33、低功耗电路实现的方法有(   )

A. 降低工作电压

B. 增加负载电容

C. 降低电路面积

D. 尽可能提高电路性能

正确答案:AC
详细解析:

34、请找出以下总线中的串行总线(   )

A. AXI

B. SDIO

C. UART

D. IIC

正确答案:BCD
详细解析:

35、下列哪些方式可以减少亚稳态问题的影响(   )

A. 提升系统时钟频率

B. 用反应更快的FF

C. 架构上增加data toggle rate

D. 改善时钟质量

正确答案:BCD
详细解析:

数字 IC 设计、FPGA 设计秋招笔试题目、答案、解析(6)2022 紫光展锐数字芯片提前批笔试相关推荐

  1. 数字 IC 设计、FPGA 设计秋招笔试题目、答案、解析(1)2022 紫光展锐(上)

    引言 最近收到诸多粉丝的来信,要求出一版<数字 IC 设计.FPGA 设计秋招笔试题精讲>,于是,通过几天几夜的加班加点,终于出了这一版<2022 紫光展锐秋招笔试题目.答案.解析& ...

  2. 数字IC设计/FPGA工程师秋招面经

    秋招面经 秋招总结 迷茫期 低谷期 兴奋期 秋招面试常问问题 投递公司 学习的FPGA课程 总结 秋招总结 已经一个多月没更新博客了,并不是因为博主的只是储备量空了,而是因为我去准备秋招去了,接下来将 ...

  3. 【2022秋招】IC设计/FPGA开发秋招经历总结(2)——公司专题

    接上篇~ [2022秋招]IC设计/FPGA开发秋招经历总结_Richie_L的博客-CSDN博客 笔者的意向岗位是数字IC/FPGA,项目经历有FPGA相关,涉及信号处理以及单片机等.目前笔者的面试 ...

  4. 2022IC秋招面经分享【海光·SOC设计工程师(上海)/紫光展锐(杭州)】

    因为面这两家的时候都出现了事故,所以放在一起写了. 面海光的时候技术面面试官说后台没有我的简历,所以只能随便问点,结果让我讲项目难点的时候我共享了屏幕,讲完面试官才说他那看我一直是黑屏!!但是奇迹的过 ...

  5. 【秋招纪实录】一篇特别正经的【紫光展锐】求职经验分享

    本篇推文共计2000个字,阅读时间约3分钟. 01 求职关键词 紫光展锐 软件开发岗 2020年秋季校园招聘 已取得offer 02 笔试 紫光展锐的笔试不是特别难,相比较难度较为容易,大部分选择题都 ...

  6. 紫光展锐2021数字芯片笔试

    紫光展锐2021数字芯片笔试题 一.单选题 A,计算过程参考CRC计算原理介绍 B,删除命令的常用用法:rm -rf ./file.txt,mkdir是新建文件夹(目录),mv是移动文件(重命名) 不 ...

  7. 紫光展锐:大破大立 做数字世界的生态承载者

    4月20日,以"构go"为主题的2021紫光展锐创见未来大会在线上举行.会上,展锐重磅发布了5G业务新品牌--唐古拉系列,推出了Cat.1bis新技术特性,并分享了创新业务AR领域 ...

  8. 艾为数字ic面试题_秋招 应聘FPGA/数字IC笔试面试经验分享(简单列举FPGA/数字IC公司)...

    1.自我介绍 我是一名二本院校的电气自动化专业的本科生,因为自己的爱好,喜欢FPGA开发,两年的开发经验,用FPGA开发并完成三个省级科研立项并获得一个A类竞赛国家一等奖.目前签约上海艾为电子,数字I ...

  9. 【2022秋招】IC设计/FPGA开发秋招经历总结

    现在是2022年10月末,来给自己的秋招做一个阶段性总结.笔者正式的秋招是暑假出差回来之后开始的,7月15日至今,历时差不多3个月.当然前期也做了一些准备工作,比如简历制作和学校组织的模拟面试以及就业 ...

最新文章

  1. 整合PyTorch 0.4和Caffe 2,PyTorch 1.0能挑战TensorFlow吗?
  2. 全新视角:用变分推断统一理解生成模型(VAE、GAN、AAE、ALI)
  3. vi和vim的基础使用
  4. OpenCV 计算物体的凸包
  5. Python Django 配置URL的方式(url传参方式)
  6. 【洛谷 P2303】 [SDOi2012]Longge的问题 (欧拉函数)
  7. 个人管理 - 如何阅读一本书
  8. mycat核心配置详解(schema.xml配置)
  9. session对象和applicatione对象
  10. webpy使用笔记(一)
  11. java s类型_javasript基础——数据类型与数据类型转换
  12. linux内存管理2:内存映射和需求分页(英文名字:demand Paging,又叫:缺页中断)【转】...
  13. 《python算法教程》个人学习心得之(一):归纳、递归与归简
  14. matlab johnson变换,johnson算法是什么?
  15. yuv422sp to yuv422p
  16. linux查看iozone安装目录,IOZone的基本使用
  17. 7款优秀Vim插件帮你打造完美IDE
  18. 数据库的四个文本类型的差异
  19. 新浪与腾讯的开放平台对比
  20. Windows10系统输入法切换使用全角和半角的方法

热门文章

  1. CAD电气原理图,60多套主流PLC电气图纸,有三菱,欧姆龙,西门子,基恩士,大量实践成功应用案例,图库,变频器
  2. 安联锐视H.264格式监控数据恢复程序 1.0
  3. java使用国标方式取流,一种基于JAIN-SIP的国标28181平台分布式集群实现系统的制作方法...
  4. 感知自然语言理解(NLU)
  5. C#界面里control.ForeColor 和 control.BackColor 属性的使用
  6. dede 分页 上一页 下一页
  7. 上海源悦设计过亿别墅-禅语
  8. 向日葵-远程控制软件
  9. map容器对象插入数据的4种方式
  10. Java的两大、三类代理模式