74LS151 8选1数据选择器实现4位奇偶判断电路-QuartusII 软件仿真

  • 一、74LS151 8选1数据选择器介绍
  • 二、真值表和逻辑表达式构建
  • 三、设计原理图和波形仿真
  • 四、总结用数据选择器设计组合逻辑电路的方法

一、74LS151 8选1数据选择器介绍

该实验用到的芯片74LS151 是8选l数据选择器,有3个地址输入端C、B、A(A为低位),用于选择D0~D7共8个数据中的其中1个,1个选通输入端GN,以及2个互补输出端Y和WN。通过地址端来控制某一个数据端子的数据有效输出。

二、真值表和逻辑表达式构建

逻辑定义:定义四输入端分别为D、C、B、A,输出端为Y。其中D、C、B、A代表输入的0或者1,输出端Y可接LED指示灯,LED指示灯点亮(Y输出逻辑1)代表出现偶数个1;LED指示灯熄灭(Y输出逻辑0)代表出现奇数个1。

以下是真值表和逻辑表达式:

三、设计原理图和波形仿真


仿真结果表:

四、总结用数据选择器设计组合逻辑电路的方法

使用数据选择器设计电路有以下流程:

  1. 分析题意,将要求转化为逻辑实现功能,同时进行逻辑定义

  2. 根据功能实现列出真值表,根据真值表列写逻辑表达式

  3. 根据表达式变量选择合适的数据选择器,确定使用数据选择器的数量、型号等

  4. 选好地址端和数据输入端,结合简单逻辑门器件完成电路设计

  5. 使用Quartus进行初步的逻辑波形仿真,检验仿真效果和功能

  6. 对电路进一步优化,包括方案思路、电路元器件选择、排线走线等等

  7. 对电路方案进行评价

74LS151 8选1数据选择器实现4位奇偶判断电路-QuartusII 软件仿真相关推荐

  1. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

  2. FPGA(3)验证数字逻辑(与门、与非门、二选一数据选择器、2-4译码器、半加器、全加器)

    目录 一.验证与门 二.验证与非门 三.验证二选一数据选择器 四.验证2-4译码器 五.验证半加器 六.验证全加器 0.初始化定义 1.第一个半加器 2.第二个半加器 3.得到最终进位Co 代码 0决 ...

  3. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  4. Verilog二选一数据选择器

    //二选一数据选择器module mux2_1(a0,a1,s,f) input a0,a1,s; output f;//默认是wire(线)变量 assign f=(s)?a1:a0;//assig ...

  5. FPGA(1)--VHDL--6选1数据选择器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 五.实验思考与总结 一.实验目的 掌握用VHDL语句进行组合电路设计的方法,并熟悉程序的编译.调试与波形图的仿真. 二.实验内容 ...

  6. Quartus II使用说明(Verilog HDL二选一数据选择器 )

    <可编程数字逻辑电路设计>课程中Quartus II软件的使用说明 VHDL和Verilog HDL不一样. 1.新建文件夹,里面包含5个子文件夹(doc/img/prj/rtl/test ...

  7. 4片74151扩展为32选1数据选择器

    在做较为复杂的电路设计时, 比如设计过程包含对于5变量逻辑函数的实现, 此时若用大量的逻辑门来实现, 那无疑是耗时耗力的: 若直接用32选1数据选择器进行实现, 则只需对照真值表来接线即可, 这样免去 ...

  8. Verilog VHDL三种建模描述方式——2选1数据选择器

    标题Quartus II 标题 Verilog VHDL三种建模描述方式--2选1数据选择器 1,结构化描述方式: 是使用实例化低层次模块的方法,即调用其他已经定义过的低层次模块对整个电路的功能进行描 ...

  9. # Quartusll采用IF设计二选一数据选择器及仿真

    Quartusll采用IF设计二选一数据选择器及仿真 软件Quartusll9.1 没有软件的小可爱先来领取资源哈~~(9版本以后就不自带仿真) 链接:https://pan.baidu.com/s/ ...

  10. VHDL实验一:2选1数据选择器(绘制原理图)

    一.实验要求 2选1数据选择器 输入信号:两个数据源a和b,选择端s.输出信号:选择输出端y.利用选择端s对输出端进行控制.达到2选1数据选择器的效果. 二.实验内容 1.二选一数据选择器的原理图: ...

最新文章

  1. 将前500页,和中间500页的CVE编号放在一起
  2. 互联网黄金十年的黄昏——是人工智能的黎明还是裁员的长夜
  3. 浅析神经协同过滤NCF在推荐系统的应用
  4. 【NOIP模拟】T2 管道(状压dp求图的dfs序方案数)
  5. hystrix相关配置
  6. C++多线程快速入门(一):基本常用操作
  7. python-发邮件脚本
  8. c语言学习的计划,c语言重新学习计划(转帖)
  9. iOS开发之alloc底层探索之旅
  10. hdu2201:熊猫阿波的故事
  11. 同时安装Office2016和Visio2016
  12. 快速上手Total Commander的经验分享
  13. PyCharm入门(七)PyCharm Evaluation:Your evaluation license expires in 3 days
  14. 在 2040 年前,实现净零碳排放
  15. Tkinter Canvas画布 图片导入
  16. 简单的猜数字小游戏(1 ~ 100)
  17. linux环境下php安装sqlsrv扩展连接mssql
  18. 2021前端react面试题汇总
  19. LogicalDOC 6.6.2 发布,文档管理系统
  20. 【代码总结-不定期更新】

热门文章

  1. psd 替换智能图层的的实现-个性化定制网站
  2. 光猫需要已经开通了 telnet 功能
  3. OpenGL实现B样条曲线
  4. 禅道类似软件_整理几款开源项目管理软件
  5. 基于Qt开发的游戏手柄小程序例子
  6. Learun敏捷框架甘特图——摆脱项目管理的泥沼
  7. 数据库查询优化-添加索引
  8. STLINK下载程序(附STLINK驱动包)
  9. matlab绘制三维机翼,三维机翼某一断面的压力系数X-Y曲线绘制——使用tecplot的extract功能...
  10. 性能测试-Loadrunner脚本录制步骤