组合逻辑电路(半加器全加器及逻辑运算)实验报告

(16页)

本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦!

17.90 积分

中山大学南中山大学南方学院方学院第 1 页 共 16 页电子通信与电子通信与软件工程软件工程 系系 2013-2014 学学年第年第 2 学期学期《《数字电路与数字电路与逻辑设计实逻辑设计实验验》》实验报告实验报告--------------------------------------------------------------------------------------------------------------------- 班级:班级: 姓名:姓名: 学号:学号: 成绩:成绩: 同组成员:同组成员: 姓名:姓名: 学号:学号: ------------- -------------------------------------------------------------------------------------------------------- 一、一、实验名称:实验名称:组合逻辑电路(半加器全加器及逻辑运算)二、二、实验目的:实验目的:1、掌握组合逻辑电路的功能调试2、验证半加器和全加器的逻辑功能。3、学会二进制数的运算规律。三、实验内容:三、实验内容:1.组合逻辑电路功能测试。(1) .用 2 片 74LS00 组成图 4.1 所示逻辑电路。为便于接线和检查.在图中要注明芯片编号及各引脚对应的编号。 (2) .图中 A、B、C 接电平开关,YI,Y2 接发光管电平显示.(3) 。按表 4。1 要求,改变 A、B、C 的状态填表并写出 Y1,Y2 逻辑表达式. (4) .将运算结果与实验比较.2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能.根据半加器的逻辑表达中山大学南中山大学南方学院方学院第 2 页 共 16 页式可知.半加器 Y 是A、B 的异或,而进位 Z 是A、B 相与,故半加器可用一个集成异或门和二个与非门组成如图 4.2.(1) .在学习机上用异或门和与门接成以上电路.接电平开关S.Y、Z 接电平显示. (2) .按表 4.2 要求改变A、B 状态,填表. 3.测试全加器的逻辑功能。(1) .写出图 4.3 电路的逻辑表达式。(2) .根据逻辑表达式列真值表.(3) .根据真值表画逻辑函数Si 、 Ci 的卡诺图.(4) .填写表 4.3 各点状态(5) .按原理图选择与非门并接线进行测试,将测试结果记入表 4.4,并与上表进行比较看逻辑功能是否一致.中山大学南中山大学南方学院方学院第 3 页 共 16 页实验结果:实验结果:表 4.1输入输出ABCY1Y20001111000111001011100100011111101100011Y1=A+B Y2=(A’·B)+(B’·C)表 4.2A0101输入端B0011Y0110输出端Z0001表 4.3AiBiC1?iYZX1X2X3SiCi00000111000101010110100101011011000111010010111010011110110110111011011110111011中山大学南中山大学南方学院方学院第 4 页 共 16 页表 4.4Ai00001111Bi00110011输入端C1?i01010101Ci00010111输出端Si01101001Y=A’B+AB’ Z=C X1=A’B+C’+AB X2=A’B’+AB+C X3=A’B+AB’+C’ Si=A’B’C+A’BC’+AB’C+ABC Ci=AC+AB+BC实验总结:实验总结: 此实验中因本就缺少一块 74LS00 的芯片导致线路不完整,原本打算用74LS20 来代替 74LS00,但电路还是出现了问题,原以为是电路接线的问题,也重新接线过,但是情况毫 无变化。在和隔壁组同学的讨论下,决定一个个检测电路中各点的情况,最后发现是 74LS20 芯片 1,2,13 接口的问题。最后找到一个 74LS00 才使得电路正确运行。通过这次实 验明白了,有时候出现问题时,自己应在一定的时间内想到问题的解决方案,如果解决不 了问题应需要找同伴商讨合作才能使实验完成的效率更高,在实验的过程中重要的不仅仅 是理论知识,要有学会听取他人意见不可一意孤行,还要有会和团体合作的意识,才能将 任务更高效更好的完成。中山大学南中山大学南方学院方学院第 5 页 共 16 页中山大学南中山大学南方学院方学院第 6 页 共 16 页中山大学南中山大学南方学院方学院第 7 页 共 16 页中山大学南中山大学南方学院方学院第 8 页 共 16 页中山大学南中山大学南方学院方学院第 9 页 共 16 页中山大学南中山大学南方学院方学院第 10 页 共 16 页中山大学南中山大学南方学院方学院第 11 页 共 16 页中山大学南中山大学南方学院方学院第 12 页 共 16 页中山大学南中山大学南方学院方学院第 13 页 共 16 页中山大学南中山大学南方学院方学院第 14 页 共 16 页中山大学南中山大学南方学院方学院第 15 页 共 16 页中山大学南中山大学南方学院方学院第 16 页 共 16 页微信红包群 http://wxhb.liaohuati.com/ T90xPMbVRDnm 关 键 词: 组合 逻辑电路 半加器 全加器 逻辑运算 实验 报告

 天天文库所有资源均是用户自行上传分享,仅供网友学习交流,未经上传用户书面授权,请勿作他用。

关于本文

74ls20设计半加器_组合逻辑电路(半加器全加器及逻辑运算)实验报告相关推荐

  1. 组合逻辑电路——半加器

    FPGA组合逻辑电路 半加器 加法器是数字电路中经常用到的一种基本器件,主要用于两个数或者多个数的加和,加法器又分为半加器(half adder)和全加器(full adder).半加器电路是指对两个 ...

  2. 计算机组成原理八位全加器,计算机组成原理实验报告-八位补码加减法器的设计与实现.docx...

    文档介绍: 计算机科学与技术学院计算机组成原理实验报告书实 验 名 称班 级学 号姓 名指 导 教 师日 期成 绩八位补码加/减法器的设计与实现实验 1 八位补码加/减法器的设计与实现一.实验目的1. ...

  3. 计算机逻辑部件按其结构可分为,《数字逻辑电路》期末大作业实验报告

    大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计.电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组 ...

  4. 五猴分桃c语言课程设计,c语言程序设计五猴分桃问题实验报告.doc

    c语言程序设计五猴分桃问题实验报告.doc 课程设计报告学院.系:吉林大学珠海学院计算机科学与技术系专业名称:软件工程课程设计科目C语言程序课程设计所在班级:10班学生学号:04121010学生姓名: ...

  5. 华理c语言设计网上作业,2011华理《c语言实验报告》.docx

    C程序设计课程实验报告册 所在学院 班 级 学 号 姓 名 任课教师 <C语言程序设计>实 验报告(1 ) 学号:姓名:班级:成绩: 实验名称:函数综合实验 实验地点: 所使用的工具软件及 ...

  6. python实现循环赛日程表问题的算法_循环赛日程表的分治算法实现实验报告gxl.doc...

    循环赛日程表的分治算法实现实验报告gxl PAGE PAGE 2 深 圳 大 学 实 验 报 告 课程名称: 算法设计与分析 实验项目名称: 分治算法 --矩阵相乘的Strassen算法及时间复杂性分 ...

  7. python实现循环赛日程表问题的算法_循环赛日程表的分治算法实现实验报告_gxl.doc...

    循环赛日程表的分治算法实现实验报告_gxl 深 圳 大 学 实 验 报 告 课程名称: 算法设计与分析 实验项目名称: 分治算法 --矩阵相乘的Strassen算法及时间复杂性分析 或--循环赛日程表 ...

  8. 【数字逻辑设计】多级组合逻辑电路减少硬件

    使用两级逻辑时,一些逻辑函数需要大量的硬件.一个典型的例子是多输入的异或门函数. 我们先用两级逻辑建立一个三输入异或门电路: 首先,我们发现对于多输入异或门,奇数个数输入为真时输出为真. 下面是一个三 ...

  9. c语言加法器程序代码,利用EDA设计加法器和减法器并且附有程序代码的实验报告...

    满意答案 q316281484 2013.12.31 采纳率:48%    等级:12 已帮助:35577人 library ieee; use ieee.std_logic_1164.all; us ...

  10. 南京邮电大学java实验报告_南京邮电大学java第二次实验报告

    三.实验内容 1. 寻找并输出11~999之间的数m,它满足m.m2.m3均为回文数.回文数是各位数字左右对称的整数.判断是否为回文要求通过编写方法来完成. packageTest;/*** @Aut ...

最新文章

  1. Source Insight 经典教程
  2. 怎么把一台华为路由器配置为FTP服务器?
  3. 八十三、Eureka实现相互注册
  4. Bugku密码学(一)
  5. java api接口报500_应用程序编程接口API,我们来聊一聊这个熟悉的名词
  6. 旋转数组的最小数 php 牛客网_一文搞定—移掉K个数字amp;amp;旋转数组amp;amp;全排列...
  7. python diango 并发_python - django Model 并发写数据出现重复值
  8. Val编程-界面编程
  9. 2.apache模块mod_rpaf ,让nginx代理后端的apache获取访客真是IP
  10. python getattr_深入浅出Python模块
  11. python内置函数zip
  12. bandizip修改压缩文件内容_BandiZip如何进行解压缩文件?BandiZip解压缩流程
  13. 查询结果按中文拼音顺序排序
  14. 制作自己的openwrt刷机包_openwrt刷机教程
  15. Objective-C 协议最基本解释
  16. “华为杯”第十八届中国研究生数学建模竞赛B题参赛总结
  17. curl命令发送Post请求
  18. c++ 一个学习小组有5个人,每个人有三门课(高数、英语和C语言)的考试成绩,求每人的平均成绩。按行输出每个学生的各科成绩及平均成绩。
  19. 就聊聊不少小IT公司的技术总监
  20. 如何做一个营销策划案前期

热门文章

  1. 软件以人为本5 - 敏捷3 - 拯救每日立会2
  2. Neusoft机房改善计划
  3. 用U盘给虚拟机装系统——U深度
  4. Linux基础知识-命令行
  5. cad 切图_两种快速切图方式
  6. python电影爬取并下载_python爬取电影并下载
  7. 利息基础理论 - 寿险精算(2)
  8. PPT要怎么做?需掌握的一些制作设计技巧
  9. dBm与功率(w)换算关系!
  10. 疯狂HTML5 CSS 3 JavaScript讲义 (李刚) 高清PDF扫描版