满意答案

q316281484

2013.12.31

采纳率:48%    等级:12

已帮助:35577人

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity full is

port(cin:in std_logic;

a,b:in std_logic_vecter(7downto 0);

s :out std_logic_vecter(7downto 0);

cout:out std_logic

);

end full;

architecture beh of full is

signal sint:std_logic_vector(8 downto 0);

signal aa,bb:std_logic_vector(8 downto 0);

begin

aa<='0'&a(7downto 0);

bb<='0'&b(7downto 0);

sint<=aa+bb+cin;

s(7 downto 0)<=sint(7 downto 0):

cout<=sint(4);

end a;

这个是8位加法器

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity jfq is

port(sub:in std_logic;

a,b:in std_logic_vector(3 downto 0);

s :out std_logic_vector(3 downto 0);

cout:out std_logic

);

end jfq;

architecture beh of jfq is

signal a1,a2,a3:std_logic(3 downto 0);

begin

a1<='0'&a(3 downto 0);

a2<='0'&b(3 downto 0);

a3<=a1-a2-sub;

s<=a3(3 downto 0);

cout<=a3(3 downto 0);

end beh;

这个是4未减法器

00分享举报

c语言加法器程序代码,利用EDA设计加法器和减法器并且附有程序代码的实验报告...相关推荐

  1. python实验报告代写价格_代写OS python程序作业、代写代写OS作业、代写OS实验报告...

    代写OS python程序作业.代写代写OS作业.代写OS实验报告 日期:2018-06-11 03:21 CSE 304 - Operating Systems DUE: June 11. Subm ...

  2. java完成一个学生信息调查程序_利用Java设计一个简单的学生信息管理程序

    利用Java设计一个简单的控制台学生信息管理程序 此程序可作为课设的参考,其中信息存储于文件中. 创建了学生类Student,用于存储学号等的信息.创建StudentFunction类,用于实现诸如学 ...

  3. 我的第一个安卓应用程序_我如何设计我的第一个应用程序

    我的第一个安卓应用程序 by Daniel Novykov 丹尼尔·诺维科夫(Daniel Novykov) 我如何设计我的第一个应用程序 (How I Designed My First App) ...

  4. 微软小娜打开应用程序_利用您现有的Microsoft投资进行应用程序白名单

    微软小娜打开应用程序 Application Whitelisting? What Is It? 应用白名单? 它是什么? I consider a firewall to be a Yes / No ...

  5. html页面点击小图弹出大图代码,利用JS实现点击小图弹出大图代码

    特效描述:利用JS实现 点击小图 弹出大图代码.利用JS实现点击小图弹出大图代码 代码结构 1. HTML代码 (function(){ var LightBox = function(options ...

  6. tec控制pid程序_利用 SPICE 设计 TEC 温度环路 PID 控制

    使用模拟比例积分微分 (PID) 控制器的温度控制是一种非常简单的电路,是确保热电冷却器 (TEC) 的设置点能够对温度或者激光进行调节的有效方法.比例积分项协同工作,精确地伺服TEC的电流,以维持控 ...

  7. html中图片连续滚动代码,[转载]网页设计中的图片连续滚动效果——代码

    style="overflow:hidden;width:500px;"> border="0"> id="butong_net_left ...

  8. 编译原理上机实习c语言小子集编译程序的实现报告,合肥工业大学编译原理实验报告(完整代码版)...

    <合肥工业大学编译原理实验报告(完整代码版)>由会员分享,可在线阅读,更多相关<合肥工业大学编译原理实验报告(完整代码版)(58页珍藏版)>请在人人文库网上搜索. 1.计算机与 ...

  9. 计算器软件C语言课程设计实验报告,c简单计算器实验报告_相关文章专题_写写帮文库...

    时间:2019-05-15 12:55:15 作者:admin 计算器实验报告 班级: 07计本(1)班 姓名: 王林 学号: 20706031047 指导老师: 韩静 一. 需求分析 (1)制作一个 ...

最新文章

  1. 一文看懂机器学习中的常用损失函数
  2. react-redux的Provider和connect
  3. python函数的使用场景_Python——异常(内置异常以及应用场景)
  4. 零膨胀负二项回归模型的使用 R语言
  5. MYSQL 编码设置
  6. visual studio 最新稳定版本_速来围观!Android Studio 4.0 稳定版发布了
  7. qt可以实现创建临时无线热点吗?_数据线断了,身边又没有路由,如何无线高速传输文件?...
  8. java虚拟机有什么作用_java虚拟机有什么用
  9. vbs字符串正则_VBS教程:正则表达式简介 -字符匹配
  10. 2345好压去热点广告
  11. 华为机试题python版节选(基础编程题)
  12. 基于STM32F4:多通道ADC采集,采用DMA的形式,亲测有效
  13. (转)东方美人吉他谱及演奏(中川砂仁)
  14. 移动端-K线图-开发
  15. 阿米洛键盘使用手册2021-02-22
  16. 第188天:extend拷贝创建对象的原理
  17. 《Python深度学习从零开始学》简介
  18. BZOJ 3709: [PA2014]Bohater 贪心
  19. 输入一个字符串,判断其是否是回文。(回文:即正读和反读都一样,如abccba, abccba)
  20. 利用手机号登录获取手机验证码

热门文章

  1. 微信开放平台开发第三方授权登陆(三):Android客户端
  2. android 闪光灯 频闪,技术丨频闪人像的闪光灯调节和拍摄要点
  3. 【Python学习笔记】3. Python3 基础语法
  4. 微信锁屏密码怎么设置
  5. 15个C语言“谜题”,有你知道的吗?
  6. 1-1 Java基础和编程概述
  7. 【蓝桥杯省赛真题2】Scratch射击螃蟹 少儿编程scratch蓝桥杯选拔赛真题讲解
  8. 彻底解决win10黑屏,睡眠后无法唤醒(Intel平台)
  9. 巴比特MatPool运营NEO节点,2019年必定是 Staking 经济元年
  10. 2022电赛省一-小车跟随行驶系统(C题)