FPGA组合逻辑电路

半加器
加法器是数字电路中经常用到的一种基本器件,主要用于两个数或者多个数的加和,加法器又分为半加器(half adder)和全加器(full adder)。半加器电路是指对两个输入数据位相加,输出一个结果位和进位,没有进位输入的加法器电路。是实现两个一位二进制数的加法运算电路。

1.编写HDL描述文件

module  half_adder
(input   wire    in_1 ,   //加数1input   wire    in_2 ,   //加数2output  wire    sum ,   //两个数的加和output  wire    cout    //加和后的进位
);
assign  {cout, sum} = in_1 + in_2;endmodule   

2.编写测试脚本(testbench)

`timescale 1ns/1ns
module tb_half_adder();
reg   in_1;
reg   in_2;wire  sum;
wire  count;
//初始化输入信号
initial beginin_1  <=  1'b0;in_2  <=  1'b0;end//模拟信号in_1和in_2的输入情况
always #10 in_1 <= {$random} % 2;//取模求余数,产生1or0,每隔10ns产生一次always #10 in_2 <= {$random} % 2;half_adder half_adder_inst//模块例化
(.in_1(in_1),.in_2(in_2),.sum(sum),.count(count)
);
endmodule

仿真结果

组合逻辑电路——半加器相关推荐

  1. 数字电路之组合逻辑电路

    文章目录 思维导图 逻辑门 加法器 比较器 代码转换器 译码器与编码器 数据选择器(多路复用器) 多路分配器 奇偶校验器 逻辑门对应布尔运算,逻辑门组合对应布尔表达式,逻辑门组合的相互转换对应布尔表达 ...

  2. 数字电子技术-组合逻辑电路

    文章目录 一.组合逻辑电路的分析 二.组合逻辑电路的设计 三.组合逻辑中的竞争-冒险 四.若干典型的组合逻辑电路 4-1 编码器 4-1-1 普通编码器 4-1-2 优先编码器 4-1-3 典型编码器 ...

  3. 数字电路 常用组合逻辑电路

    文章目录 4.3.1 编码器 4.3.2 译码器 4.3.3 数据选择器 4.3.4 加法器 4.3.5 数值比较器 4.4 组合逻辑电路中的竞争-冒险现象 4.4.1 竞争-冒险现象及成因 4.4. ...

  4. 数字电路 第四章 组合逻辑电路

    组合逻辑电路 前言: 本章当中,数据选择器和译码器是比较重要的.因为这两个电路可以出题,来考察.相较于前两者,编码器,数据分配器,数值比较器,加法器,减法器,应该是记忆即可. 译码器与数据选择器的例题 ...

  5. 数电实验(组合逻辑电路、时序电路,555定时器)

    本文章为数电实验整理内容,其电路仿真资料可以在我的资料中自行下载,其包括所说内容全部仿真内容. 一.组合逻辑电路的设计与验证 利用 二输入四与非门74LS00和二输入四异或门74LS86和LED来达到 ...

  6. (十二)【数电】(组合逻辑电路)加法器

    [数电专栏] 文章目录 A 加法器 A.a 加法器工作原理 A.b MSI加法器集成芯片示例 A 加法器 A.a 加法器工作原理 <1>加法器概述 两个二进制数之间的算术运算无论是加.减. ...

  7. IC验证必备的数字电路基础知识(二):组合逻辑电路

    参考教材:数字电路与逻辑设计(第2版)邬春明 目录 1. 组合逻辑电路分析 2. 组合逻辑电路设计( 重点,设计视角,以练题为主) 3. 竞争冒险 4. 组合逻辑电路 4.1. 编码器 4.2. 译码 ...

  8. 数字电子技术之组合逻辑电路

    分析与设计 常用中规模组合逻辑器件 加法器 数值比较器 编码器 译码器 数据选择器 数据分配器 竞争与冒险 分析与设计 组合逻辑电路的分析方法 [例1] 逐层推导得到函数表达式: 最终得到F表达式: ...

  9. 组合逻辑电路:(附清华大学课程视频及课件PPT)

    组合逻辑电路:(附清华大学课程视频及课件PPT) 目录: 1.组合逻辑电路 2.组合逻辑电路分析方法 3.组合逻辑电路设计方法 4.编码器 5.译码器 6.数据选择器 7.加法器 8.数值比较器 9. ...

最新文章

  1. 【NLP】文本相似度的BERT度量方法
  2. java中do loop的使用_Java中do-while循环——通过示例学编程(11)
  3. 第四章 大网高级   NSSA
  4. MySQL: InnoDB 还是 MyISAM?
  5. linux常见文件打开,Linux常用操作有哪些? Linux常用操作介绍
  6. Python Flask教程
  7. APUE习题[实现dup2函数功能,不使用fcntl]
  8. 在Windows平台使用IIS部署Flask网站
  9. asp.net+mysql,asp.net+mysql后台盲注入
  10. 网吧服务器维护工具,某某网吧专用维护工具(网吧维护管理助手)V5.1 最新版
  11. Oracle执行计划
  12. 【无标题】简单的培根密码解密
  13. 服务器状态 fadein,aria2-BT服务器地址的可用trackers列表(已接手)
  14. linux ftp 测网速,武汉电信使用FTP测试网速
  15. 【 OJ 】 HDOJ1048 明文加密问题 [ 42 ]
  16. 新唐 Nuvoton NUC029 入门 点亮板载LED
  17. python用于cad_【笔记】利用Python自动化操作AutoCAD
  18. 【JavaSE】类和对象
  19. js面向对象怎么理解
  20. C语言中指针是什么?

热门文章

  1. SQL Server中的聚集索引(clustered index) 和 非聚集索引 (non-clustered index)
  2. 如何在win7系统中启动摄像头
  3. c#把html格式转换文本
  4. 十大尴尬瞬间!哪一个你中了?
  5. 最新论文笔记(+4):Blockchain-Based Solutions to Security and Privacy Issues in the Internet of Things
  6. Android 欢迎页面 引导页
  7. vue 文字转语音mp3_vue 语音合成 - 梦魂清风的个人空间 - OSCHINA - 中文开源技术交流社区...
  8. DSP28335的时钟电路介绍与时钟电路配置相关问题
  9. jquery获取父元素及祖先元素
  10. 『柱状图 三分法求极值 树状数组』