1 设计要求:设计一个三人表决器,有3个裁判,其中有1位主裁判,2位副裁判。主裁判有否决权,即主裁判和其中一位副裁判投赞同票,决议方可通过,否则不通过。

注意:如果有了其中一人投赞同票就可以单票通过那就是决定权,如果必须有其中一人投赞同票才可以通过那就是否决权。

2 设计代码如下:

module vote3_pr0(input       wire        a,input     wire        b,input     wire        c,output        reg         y
);always@(*)beginif((a == 1'b0)&&((b == 1'b0)||(c == 1'b0)))y = 1'b1;elsey = 1'b0;endendmodule

仿真测试代码如下:

`timescale 1ns/1psmodule vote3_pro_tb();reg     a;reg       b;reg       c;wire      y;vote3_pro vote3_pro_inst(.a       (a),.b      (b),.c      (c),.y      (y));initial begina = 0; b = 0; c = 1; #20;a = 0; b = 0; c = 0; #20;a = 0; b = 1; c = 1; #20;a = 0; b = 1; c = 0; #20;a = 1; b = 0; c = 1; #20;a = 1; b = 0; c = 0; #20;a = 1; b = 1; c = 1; #20;a = 1; b = 1; c = 0; #20;$stop;endendmodule 

仿真波形如下:

带优先级的三人表决器相关推荐

  1. 三人表决器_数电小实验之三人表决器

    数电小实验 20级会员们的模电实验已经结束了,他们的培训计划已经从模电实验进行到了数电实验.他们将学习到一个新的知识--逻辑电路. 接下来让我们一起深入了解本次任务吧! 实验:三人表决器的设计和搭建 ...

  2. 三人表决器与八人抢答器实验

    三人表决器与八人抢答器实验 三人表决器实验报告 一.实验目的 1.辨识数字IC功能说明. 2.测试数字集成门电路,掌握输出故障排除.使用注意事项. 3.掌握逻辑函数搭建三人表决器. 二.实验资源 1. ...

  3. 用C++写一个三人表决器

    昨天离散数学老师布置了一道编程题: 设计并编程实现一个3人表决开关电路.设三个裁判分别为A.B.C,有两个及以上裁判同意,则通过表决:否则,未通过表决.同意与否通过键盘输入,可以用0.1或T.F表示. ...

  4. HNU工训中心: 三人表决器及八人抢答器实验报告

    工训中心的牛马实验 三人表决器: 实验目的 1) 辨识数字IC功能说明. 2) 测试数字集成门电路,掌握输出故障排除.使用注意事项. 3) 掌握逻辑函数搭建三人表决器. 2.实验资源 HBE硬件基础电 ...

  5. HNU-电子测试平台与工具-三人表决器

    该报告最后的得分为100分/100分. 作者认为可以将它发出来,为有需要的同学,特别是该大学该门科目的后继者提供帮助与解答. 如果喜欢可以点个赞哦,感谢. 三人表决器实验报告 班级:计科210X 学号 ...

  6. HNU-电子测试平台与工具-三人表决器实验报告

    该报告最后的得分为100分/100分. 作者认为可以将它发出来,为有需要的同学,特别是该大学该门科目的后继者提供帮助与解答. 如果喜欢可以点个赞哦,感谢. 三人表决器实验报告 班级:计XXXXX 学号 ...

  7. 51单片机 独立按键控制LED 实现的三人表决器

    有图可以看出,当(K1,K2,K3,K4)按下时(P31,P30P32,P33)短接到地,从高电位被拉到地在数字电路中高电位被看作(1)底电位被看作(0) 可以看出当P2为0时LED上才有电位差,才会 ...

  8. 通过74ls138构成的三人表决器

    三人表决器的原理为三人中至少两人同意则结果为同意,及输入信号至少两个1则结果为1.由此可推出表达式 通过74ls138的功能表可得出当G2A和G2B都接地,G1为高电平时工作且以反码形式输出.由表达式 ...

  9. LabVIEW-使用与非门实现三人表决器

    三人表决器与非门实现,当两个及以上的人选择为同意时,输出为真:否则输出为假.根据真值表和表达式Y=((AB)'(BC)'(AC)')'可以画出逻辑电路图从而实现三人表决器的功能.在LabVIEW前面板 ...

最新文章

  1. 【C语言入门教程】2.7 表达式
  2. java基础之抽象类和接口
  3. ScottGu之博客翻译-LINQ to SQL第四部分,更新数据库 LINQ to SQL (Part 4 - Updating our Database)...
  4. 乐高ev3搭建图_乐高EV3第一讲,Hello Ev3,搭建机甲战神模型
  5. Android开发——Android系统启动以及APK安装、启动过程
  6. LeetCode 70. Climbing Stairs
  7. Java基础学习总结(99)——Java代码性能优化总结
  8. 在Python数据库连接池中如何创建请求连接的方案
  9. LINUX邮件服务器
  10. 数学分析原理 定理 6.5
  11. 微信小程序图片自适应屏幕大小真的有效
  12. php变形的itf条码,itf14条码生成器 第14章生成器.doc
  13. ionic3 disabled
  14. 巴比特 | 元宇宙每日必读:股价暴跌,强敌环伺,扎克伯格将如何续写元宇宙故事?...
  15. mac怎么压缩pdf文件大小?
  16. 2015年3月PMP认证考试报名通知
  17. 几种软件打包工具的对比
  18. 引用RFM模型进行会员分析
  19. 烤仔观察 | 从蓝海到红海,科技巨头们和他们元宇宙世界
  20. 实验室智能化管理系统建立步骤

热门文章

  1. 带你爬取双色球历史开奖信息并作可视化分析
  2. 【C语言】一个学生信息排序程序(学生信息表)【注释详细】【链表】
  3. 风云之梦情篇java_说一下手机JAVA的风云游戏,开GPRS的吧友们都进来看看
  4. 体验文心一言AI大模型生成哈佛大学、麻省理工学院、牛津大学、剑桥大学、巴黎高师、巴黎政治学院、巴黎大学、巴黎第五大学和里昂商学院简介
  5. 想象中的保研VS实际上的保研!
  6. 身份证、手机号加密存储的一些思路
  7. java中的土味情话,java中的土味情话
  8. 全国主要城市空气质量热点图
  9. 《算经》——百鸡今解
  10. 斯坦福大学3D点云模型下载