该报告最后的得分为100分/100分。

作者认为可以将它发出来,为有需要的同学,特别是该大学该门科目的后继者提供帮助与解答。

如果喜欢可以点个赞哦,感谢。

三人表决器实验报告

班级:计XXXXX

学号:20210801XXXX

姓名:wolf

目录

1 实验项目

1.1 项目名称

1.2 实验目的

1.3 实验资源

2 实验任务

2.1 实验任务A

2.2 实验任务B

2.3 实验任务C

3 总结

3.1 实验中出现的问题

3.2 心得体会

  1. 实验项目

    1. 项目名称

三人表决器

  1. 实验目的

1) 辨识数字IC功能说明。

2) 测试数字集成门电路,掌握输出故障排除、使用注意事项。

3) 掌握逻辑函数搭建三人表决器。

  1. 实验资源

HBE硬件基础电路实验箱、万用表

74LS00与非门、74LS10 三输入与非门

  1. 实验任务

    1. 实验任务A

任务名称:辨识数字IC功能说明

74LS00芯片连接图

74LS10芯片连接图

  1. 实验任务B

任务名称:三人表决器

三人表决器电路连接图

  1. 实验任务C

任务名称:门集成电路参数测量

测量电路连接图

测量数据

输入电压

输出电压

学号

20210801XXXX

姓名

wolf

0.00

3.52

0.38

3.52

0.42

3.52

0.61

3.52

0.62

3.52

0.74

3.52

0.88

3.52

1.02

3.50

1.08

3.49

1.11

3.48

1.13

3.42

1.14

2.26

1.15

2.04

1.16

1.76

1.18

1.55

1.25

1.41

1.28

1.31

1.30

0.78

1.32

0.65

1.38

0.20

1.46

0.20

  1. 总结

    1. 实验中出现的问题

3.1.1实验任务A中存在的问题

  1. 第一次接触芯片,对于芯片的组成方式与输入输出孔不是很了解,关于芯片74LS00的双接入和一输出以及芯片74LS10的三接入一输出的工作模式以及电源和接地的插孔不是很了解,需要多次操作来加深了解。
  2. 对于芯片实现功能的原理不是十分了解,需要更加深入基础层面去把控。

3.1.2实验任务B中存在的问题

  1. 对于导线断路的情况没有做出很好的预判,误以为是实验器材的问题。在老师的帮助下了解是导线段的问题,使用万用电表进行了排除与更换。
  2. 对于芯片预处理的情况需要考虑。也就是说在芯片使用之前,必须先进行预实验判定芯片的这个输入输出端口体系是否完好,能否使用这个体系。
  3. 对于芯片输入端与十六位逻辑电平输出端的连接需要错位连接不是十分理解,导致遗忘了这一步,电路没法运行。一定要进行错连接。
  4. 如果有多个接线柱在同一个接线端,可以进行重叠的连接,就是把一个接线柱重叠在另一个上面。

3.1.3实验任务C中存在的问题

  1. 对于电阻的选用出现了问题,老师演示的时候用的是10kΩ的电阻,但是对于本套装置实际来说应该选用100kΩ的电阻,没有考虑实际的情况。
  2. 对于输入输出电压的敏感性需要预先估计,输入电压在很长的区间内对于输出电压的影响极小,但在极小的区间内会对输出电压产生极大的影响。这将引导我们在相应的点位附近多测几组数据,从而将陡峭段的图像绘制地更好。
  3. 对于电路的连接与万用电表的接,需要更好地把控。
  4. 对于芯片与电阻,都需要连接电源与接地,这一点不能遗忘。
    1. 心得体会

电子测试与工具这门实验还是十分有趣的,但是在有趣的同时也对我们的专业知识以及细心程度提出了更多的要求。比如对于输入输出电压的敏感性、对于电阻以及各种导线的连接,对于芯片输入输出性质的把控等等。这些都是十分细致的,容不得半点马虎。

在三人表决器的实验过程中,我也学习到了许多电子电路的基础知识,同时也验证了一些以前的想法,纠正了一些以前的错误预判。这些都对于我的继续学习有着很大的帮助。

最后感谢耐心负责的老师与热心帮助的同学给予我的支持。

HNU-电子测试平台与工具-三人表决器实验报告相关推荐

  1. HNU-电子测试平台与工具-三人表决器

    该报告最后的得分为100分/100分. 作者认为可以将它发出来,为有需要的同学,特别是该大学该门科目的后继者提供帮助与解答. 如果喜欢可以点个赞哦,感谢. 三人表决器实验报告 班级:计科210X 学号 ...

  2. 三人表决器与八人抢答器实验

    三人表决器与八人抢答器实验 三人表决器实验报告 一.实验目的 1.辨识数字IC功能说明. 2.测试数字集成门电路,掌握输出故障排除.使用注意事项. 3.掌握逻辑函数搭建三人表决器. 二.实验资源 1. ...

  3. HNU工训中心: 三人表决器及八人抢答器实验报告

    工训中心的牛马实验 三人表决器: 实验目的 1) 辨识数字IC功能说明. 2) 测试数字集成门电路,掌握输出故障排除.使用注意事项. 3) 掌握逻辑函数搭建三人表决器. 2.实验资源 HBE硬件基础电 ...

  4. 带优先级的三人表决器

    1 设计要求:设计一个三人表决器,有3个裁判,其中有1位主裁判,2位副裁判.主裁判有否决权,即主裁判和其中一位副裁判投赞同票,决议方可通过,否则不通过. 注意:如果有了其中一人投赞同票就可以单票通过那 ...

  5. 三人表决器_数电小实验之三人表决器

    数电小实验 20级会员们的模电实验已经结束了,他们的培训计划已经从模电实验进行到了数电实验.他们将学习到一个新的知识--逻辑电路. 接下来让我们一起深入了解本次任务吧! 实验:三人表决器的设计和搭建 ...

  6. 用C++写一个三人表决器

    昨天离散数学老师布置了一道编程题: 设计并编程实现一个3人表决开关电路.设三个裁判分别为A.B.C,有两个及以上裁判同意,则通过表决:否则,未通过表决.同意与否通过键盘输入,可以用0.1或T.F表示. ...

  7. 51单片机 独立按键控制LED 实现的三人表决器

    有图可以看出,当(K1,K2,K3,K4)按下时(P31,P30P32,P33)短接到地,从高电位被拉到地在数字电路中高电位被看作(1)底电位被看作(0) 可以看出当P2为0时LED上才有电位差,才会 ...

  8. 通过74ls138构成的三人表决器

    三人表决器的原理为三人中至少两人同意则结果为同意,及输入信号至少两个1则结果为1.由此可推出表达式 通过74ls138的功能表可得出当G2A和G2B都接地,G1为高电平时工作且以反码形式输出.由表达式 ...

  9. LabVIEW-使用与非门实现三人表决器

    三人表决器与非门实现,当两个及以上的人选择为同意时,输出为真:否则输出为假.根据真值表和表达式Y=((AB)'(BC)'(AC)')'可以画出逻辑电路图从而实现三人表决器的功能.在LabVIEW前面板 ...

最新文章

  1. Dirichlet前缀和及其拓展
  2. linux网络管理三剑客
  3. python多级菜单查询简化版
  4. 消防荷载楼板按弹性还是塑性计算_现浇楼板裂缝处理办法全总结!
  5. verilog数字跑表设计实现与仿真
  6. Div+CSS布局入门教程(四) 页面顶部制作之二
  7. 数学公式编辑器AxMath
  8. GB 4208-93 外壳防护等级(IP代码)
  9. 通过Java实现一个企业人事管理系统
  10. php工具箱的mysql服务_PHP程序员工具箱下载_PHP工具箱(PHP配置PHP学习软件) 1.0 官方版_极速下载站_软件下载...
  11. px4仿真无法起飞问题(Failsafe enabled: no datalink)
  12. 计量模型 | 前定变量#时间FE
  13. 华为OD机试 - 乱序整数序列两数之和绝对值最小
  14. 阿里巴巴常用的 12 个后端开发工具,建议你快快收藏!
  15. 图片pdf合并软件:合并的方法介绍
  16. 《人生只有一次,去做自己喜欢的事》读书笔记
  17. 宽带常见的有20 30 50 100兆指的是什么意思???
  18. 二元函数对xy同时求导_关于反三角函数及其导数
  19. 人人网开放平台推出Live Stream Box,为视频直播带来社会化属性!
  20. WebSphere 8.5.5 静默安装及升级补丁

热门文章

  1. 金山U盘卫士V1.5 绿色版
  2. 锐捷ac怎么发现局域网ap_【无线】无线瘦AP配置如何进行AC直连AP配置
  3. 无法启动此程序因为计算机丢失d3dx9,Win7系统电脑提示“计算机中丢失d3dx9-41.dll”怎么办?...
  4. sys/type.h
  5. Android 常用的网络框架
  6. eclipse和java的关系
  7. 请将磁盘插入“U盘(H)“的情况无法访问 磁盘结构损坏且无法读取
  8. ViewPager2与RadioGroup连用实现底部导航
  9. widget模式弄不出来_GTA5线上模式如果改掉这几个毛病,入手GTA5的玩家还会大大增加...
  10. fhuidalshfj