有图可以看出,当(K1,K2,K3,K4)按下时(P31,P30P32,P33)短接到地,从高电位被拉到地在数字电路中高电位被看作(1)底电位被看作(0)

可以看出当P2为0时LED上才有电位差,才会产生电压。也就是P2端口为0时LED就会发光

三人表决器,ABC。A有一票否决权,两个或以上的条件满足结果才会发生。逻辑表达式为Y=AB+AC。这里把K1,K2,K3,定义为ABC.Y为P20(LED1).K1,K2,K3对应的单片机端口为P31,P30,P32

#include <REGX52.H>

void main()
{
    while (1)    //固定循环
    {
        if(P3_1==0 && P3_0==0)//选择,当IF里面的条件满足执行P2_0=0,否则执行P2_0=1,就是逻辑 AB
        {
            P2_0=0;//点亮LED
            
        }
        else
        {
    
            P2_0=1;//熄灭LED

}
        if(P3_1==0 && P3_2==0)//逻辑AC
        {
            P2_0=0;
            
        }
        else
        {
    
            P2_0=1;

}
    }
}

51单片机 独立按键控制LED 实现的三人表决器相关推荐

  1. 51单片机-独立按键控制LED

    1.   51单片机独立按键的位置: 独立按键的定义:独立按键相当于一种电子开关,按下时开关接通,松开时开关断开,实现原理是通过轻触按键内部的金属弹片受力弹动来实现接通和断开: 在整个51开发板的左下 ...

  2. 51单片机:独立按键控制LED灯

    1. 独立按键控制LED灯状态 亮灭实验完成后,就可以控制LED状态,首先我们知道由于机械结构的弹性作用,按键开关在闭合时不会一瞬间稳定的接通,在断开时,也不会一下子断开.所以就得需要程序消抖,也就是 ...

  3. 51单片机独立按键控制LED状态

    1.前言 1.1功能介绍 代码1:按下K1,D1亮,松开D1灭:代码2:按下K1不亮,直到松手才亮,再按一下无变化,直到松手才变化: 1.2按键的抖动 对于机械开关,当机械触点断开.闭合时,由于机械触 ...

  4. 用51单片机实现按键控制LED灯亮灭

    用51单片机实现按键控制LED灯亮灭 #include<reg51.h>//头文件 sbit LED=P2^0;//位定义LED灯 sbit k1=P3^1;//位定义按键 void de ...

  5. 51单片机独立按键控制合集

    实验一:当按键按下led灯亮,松开按键led灯熄灭: 实验二:当按键按下led灯常亮,再次按下按键led灯熄灭: 实验三:按键控制led以二进制形式显示: 实验四:按键控制led按位左移或右移: 实验 ...

  6. 51单片机 4个独立按键控制LED灯 (protues仿真)(C语言版)

    51单片机 4个独立按键控制LED灯 仿真操作及代码展示 _ (protues仿真)_(C语言版) 一.思路及相关问题 1.逻辑思路: 当按下key1时 led1亮起来 因为按下去具有瞬时性不用whi ...

  7. 51单片机入门学习------独立按键控制LED亮灭

    一.独立按键 1.特点:同侧导通,异侧断开 2.独立按键的I/O口  单片机上电后,所有I/O口默认都是高电平.没有按开关时都是高电平,按下后变成低电平. 寄存器通过检测高低电平检测按键是否被按下 1 ...

  8. 51单片机实现独立按键控制LED灯

    本节博客主要实现以下效果: 3-1独立按键控制LED亮灭 本段代码主要使用了if...else...语句实现按下按下灯亮,松开按键时灯灭 #include <REGX51.H>void m ...

  9. 51单片机—独立按键的控制

    目录 一.独立按键的介绍 1.按键介绍 2.按键原理图 3.按键抖动 4.按键的消抖 二.独立按键控制LED指示灯亮灭 三.按键实验视频 总结 一.独立按键的介绍 1.按键介绍 轻触按键,相当于一种电 ...

最新文章

  1. Booting ARM Linux SMP on MPCore
  2. 逍遥模拟器配置burpsuite抓包环境
  3. axis=0 与axis=1 的区分
  4. python 注销一大段_Django框架用户注销功能实现方法分析
  5. JavaScript多线程 html5 Worker, SharedWorker
  6. 如何使用文件的fseek函数对文件指针进行操作
  7. Eclipse安装包下载慢解决方法
  8. 基于C语言通讯录管理系统编程设计
  9. 深度学习目标检测之SSD网络(超级详细)
  10. 自己动手XP集成SP3补丁
  11. android模拟器串口,android模拟器上模拟串口通信
  12. 多开助手完美版,APP一键多开,支持安卓10
  13. 什么叫单模光纤_单模光纤和多模光纤的区别,以及作用是什么?
  14. 使用JiaoZiVideoPlayer播放视频方向横过来出现的问题
  15. 74LS85的IP核设计
  16. thinkphp查询条件被叠加
  17. 阿里云大数据认证——使用DataV制作实时销售数据可视化大屏-课堂笔记
  18. 内网渗透之隐藏通信隧道
  19. php网络通讯,Linux_网络通讯--efax,功能说明:收发传真。 语  - phpStudy
  20. 利用Verilog计算IQ信号相位的一种方法

热门文章

  1. vue-lazyload 使用说明
  2. 启动3dmax 9,出现脚本错误警告的解决方法
  3. 【深度学习】特征值分解与特征向量
  4. HDLC简介及相应hdlc实训
  5. python定义一个student类_从零开始学Python-Day38-类和实例
  6. 【NISP一级】考前必刷九套卷(一)
  7. Vue 服务端渲染(SSR)
  8. 基于百度地图的python开发服务端_百度地图api用python行吗
  9. 流量分析(wireshark)
  10. 害怕·舍友仅靠一个浏览器插件就走向巅峰?