解决方法:

前提是安装了 xilinx ise14.7 和modelsim se 10.1a


1〉从Windows的Start Menu开始,Xilinx ISE Design Suite 14.7 —〉EDK —〉Tools —〉Compile Simulation Libraries

按照提示编译好library,编译的library输出目录是: D:\Xilinx\14.7\ISE_DS\EDK ,编译需要一定的时间的。

2〉从Process菜单,选择Process Properties...,设置Compiled Libraey Directory

3〉set the Enviroment variables(http://forums.xilinx.com/t5/Installation-and-Licensing/solved-Failed-to-get-the-pre-compiled-simulation-library/td-p/72635) :

- MODELSIM environment variable to point to the modelsim.ini file
- WD_MGC environment variable to point to the directory containing the modelsim.ini file

注意:是指 安装modelsim.ini 软件目录下面的modelsim.ini, 比如我的安装目录是 D:\modeltech_10.1a

4〉复制 \Xilinx\14.7\ISE_DS\EDKmodelsim.ini里的[Library]节中的下列行到  \modeltech_10.1a\modelsim.ini 里的 [Library] 节下

secureip = D:\Xilinx\14.7\ISE_DS\EDK/secureip
unisim = D:\Xilinx\14.7\ISE_DS\EDK/unisim
unimacro = D:\Xilinx\14.7\ISE_DS\EDK/unimacro
unisims_ver = D:\Xilinx\14.7\ISE_DS\EDK/unisims_ver
unimacro_ver = D:\Xilinx\14.7\ISE_DS\EDK/unimacro_ver
simprim = D:\Xilinx\14.7\ISE_DS\EDK/simprim
simprims_ver = D:\Xilinx\14.7\ISE_DS\EDK/simprims_ver
xilinxcorelib = D:\Xilinx\14.7\ISE_DS\EDK/xilinxcorelib
xilinxcorelib_ver = D:\Xilinx\14.7\ISE_DS\EDK/xilinxcorelib_ver
uni9000_ver = D:\Xilinx\14.7\ISE_DS\EDK/uni9000_ver
cpld = D:\Xilinx\14.7\ISE_DS\EDK/cpld
cpld_ver = D:\Xilinx\14.7\ISE_DS\EDK/cpld_ver
edk = D:\Xilinx\14.7\ISE_DS\EDK/edk

Xilinx_ISE和ModelSim的联合使用方法 / 从Xilinx ISE 14.7启动ModelSim时遇到的问题相关推荐

  1. Xilinx ISE 14.7与Modelsim10.1a联合仿真

    分别安装Xilinx ISE 14.7与Modelsim10.1a Modelsim10.1a下载地址:https://pan.baidu.com/share/link?shareid=72194&a ...

  2. Xilinx ISE、Synplify、Modelsim之间的关系,为什么会存在比ISE更专业的FPGA开发工具?

    虽说Xilinx的ISE内自带了综合和仿真工具,但是在网络论坛上很多人都说这两个功能模块(特别是仿真模块)并不好用而且不专业,所以建议专业用户使用Synopsys公司提供的Synplify.Synpl ...

  3. 通过文件读写方式实现Matlab和Modelsim的联合仿真

    虽然 Modelsim 的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足.而进行数字信号处理是 Matlab 的强项,不但有大量的关于数 ...

  4. 国产智多晶FPGA使用Modelsim仿真RTL设计方法

    大家好,我是小梅哥,这里给大家介绍国产FPGA厂家"西安智多晶"微电子的FPGA使用Modelsim软件仿真智多晶FPGA的RTL设计的方法.本博客将陆续发表更多国产FPGA的开发 ...

  5. vivado与modelsim的联合仿真(二)

           最近在做Zynq的项目,曾经尝试使用ISE+PlanAhead+XPS+SDK组合和Vivado+SDK来搭建工程,使用中发现前者及其不方便后者有诸多不稳定.近期得闻Xilinx退出Vi ...

  6. 在quartus 和 ISE 里直接调用modelsim的方法(转)

    http://blog.sina.com.cn/s/blog_697e8abb0100muog.html 在quartus 和 ISE 里直接调用modelsim的方法 在这个论坛中我学到了很多东西, ...

  7. modelsim加入xilinx ISE库的方法

    文章目录 背景 方法 背景 由于ISE仿真用Isim虽然也行,但是用习惯了modelsim,还是用modelsim方便.为了避免每次都要重复编译xilinx的库,可以一次性将所有xilinx的库编译后 ...

  8. 规则引导的知识图谱联合嵌入方法

    规则引导的知识图谱联合嵌入方法 人工智能技术与咨询 本文来自<计算机研究与发展> ,作者姚思雨等 摘 要 近年来,大量研究工作致力于知识图谱的嵌入学习,旨在将知识图谱中的实体与关系映射到低 ...

  9. hls和modelsim进行联合仿真

    在solution下点击Run C/RTL Cosimulation 选择仿真器为Modelsim,Dump Trace选择all,选择编译库地址为之前在vivado中生成的器件地址,没生成的可以重新 ...

最新文章

  1. 在虚拟机中 windows 2003 装.net framework 3.5 出现问题.
  2. 如何使用TensorFlow中的Dataset API
  3. 迁移物理solaris系统至一个区域
  4. 在 64 位版本的 Windows 上,如何在 32 位版本的 ASP.NET 1.1 和 64 位版本的 ASP.NET 2.0 之间切换...
  5. oracle-25031安装错误分析解决
  6. Jenkins启动时提示:Starting Jenkins Jenkins requires Java8 or later, but you are running 1.7.0
  7. VS开发C#窗体应用时怎样设置窗体属性
  8. 201621123053 张雨阳软工作业2
  9. jfinal mysql date,jfinal与mysql数据类型拾掇大全
  10. Shell入门(十)之echo
  11. ERROR 2002 (HY000): Can‘t connect to local MySQL server through socket ‘/var/run/mysqld/mysqld.sock‘
  12. 学校网络认证服务器无响应,校园网常见问题
  13. CuteFTP实现windows和Fedora12数据共享
  14. 易语言mysql 记录集_求一个易语言返回mysqlcha询结果记录集的例子
  15. HotFix方案原理
  16. 漫画:三分钟学习一道位运算的面试题,万一遇到了呢?
  17. 服务器上的压缩文件怎么解压,什么是压缩文件,如何解压?
  18. Qt QuaZip 解压zip文件
  19. 揭秘中国十大暴利行业(组图)
  20. 低代码平台为何要融入BPM

热门文章

  1. 分析一下shell(转)
  2. 如何制作U盘启动菜单
  3. 计算机及网络的概念,网络的概念是什么意思,以及计算机网络概念的引入
  4. matlab mobilenet v2,MobileNetV2-SSDLite代码分析-6 VOC Dataset
  5. Adobe air 安装软件解析
  6. mysql all语法怎么用_MySQL UNION 与 UNION ALL 语法与用法
  7. [蓝桥杯][2019年第十届真题]糖果(状压dp)
  8. Light bulbs(上海icpc网络预选赛,差分离散化)
  9. 一套不错的php,一套不错的PHP笔试题-附答案
  10. opencv java库_【OpenCV】java资源