1、多路器简介

简称:多路器

功能:多输入  单输出   组合逻辑电路

2、verilog代码实现:

module Mux_8(addr,in1,in2,in3,in4,in5,in6,in7,in8,Mout,nCS);

input[2:0] addr;

input[width-1:0] in1,in2,in3,in4,in5,in6,in7,in8;

input nCS;

output[width-1:0] Mout;

reg[width-1:0] Mout;

parameter width=8;

always@(addr or in1 or in2 or in3 or in4 or in5 or in6 or in7 or in8 or nCS)

  begin

    if(!CS)

      case(addr)

      3'b000: Mout=in1;

      3'b001: Mout=in2;

      3'b010: Mout=in3;

      3'b100: Mout=in4;

      3'b101: Mout=in5;

      3'b110: Mout=in6;

      3'b111: Mout=in7;

      endcase

    else

      Mout=0;

  end

endmodule

*************************************************************

made by qidaiYMM, your comment is appreciated.

email:1519882610@qq.com

转载于:https://www.cnblogs.com/qidaiymm/p/4887615.html

多路选择器(multiplexer)简介相关推荐

  1. verilog 多路选择器 multiplexer

    verilog 多路选择器 multiplexer 自己写了一个多路选择器,写的端口数量太多,所以是用来看行为级仿真的. // An highlighted block module multiple ...

  2. HDLBits答案(7)_Verilog多路选择器

    Verilog多路选择器 HDLBits链接 定义 多路选择器(Multiplexer)简称多路器,它是一个多输入.单输出的组合逻辑电路,在数字系统中有着广泛的应用.它可以根据地址码(选择码)的不同, ...

  3. 从底层结构开始学习FPGA(4)----MUX多路选择器(Multiplexer)

    文章目录 系列目录与传送门 一.什么是MUX 二.FPGA内部的MUX 三.总结 系列目录与传送门 <从底层结构开始学习FPGA>目录与传送门 一.什么是MUX 多路选择器MUX是一个多输 ...

  4. 转载:从底层结构开始学习FPGA(4)— MUX多路选择器(Multiplexer)

    本文转载自CSDN博主「孤独的单刀」的原创文章,原文链接:https://wuzhikai.blog.csdn.net/article/details/124764526 一.什么是MUX 多路选择器 ...

  5. 1组合逻辑电路--多路选择器与多路分解器

    1.2多路选择器 1.2.1不带优先级的多路选择器 四路选择器如下 代码如下 View Code 1 module multiplexer 2 ( 3 input iA, 4 input iB, 5 ...

  6. HDLBits 系列(10)(Mux256to1)多路选择器的固定思维,你别想太多!

    目录 抛砖引玉 思维陷阱 很有意义的语法讨论 最后想说的一些话 抛砖引玉 本文有一个诡计,先让我把你代入到多路选择器中,见如下一个小问题: Create a 16-bit wide, 9-to-1 m ...

  7. (73)多路选择器(二选一)

    (73)多路选择器(二选一) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)多路选择器(二选一) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1)F ...

  8. (20)FPGA多路选择器设计(第4天)

    (20)FPGA多路选择器设计(第4天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA多路选择器设计(第4天) 5)技术交流 6)参考资料 2 FPGA ...

  9. 多路选择器——Verilog HDL语言

    多路选择器 任务描述 相关知识 逻辑原理 多路选择真值表 编程要求 源代码 任务描述 设计一个 2 选 1 多路选择器.进一步熟悉 Verilog HDL 设计流程,组合电路的设计和测试. 相关知识 ...

  10. 多路选择器MUX总结-IC学习笔记(八)

    多路复用器是一种组合电路,它从许多输入信号中选择一个作为输出,本文先介绍两个MUX的简单应用,主要关于如何将verilog与物理实现对应:第二当MUX作为时钟切换电路时如何避免毛刺(glitch). ...

最新文章

  1. python 每分钟运行一次_调度Python脚本以准确地每小时运行一次
  2. GraLSP | 考虑局部结构模式的GNN
  3. 开发板与pc之间文件传输:kermit and lrzsz
  4. 国际域名也将列入监管范畴(本文转载自【易名中国】)
  5. mysql 分组 字符串_MySQL查询以字符串字段中的数字字符对行进行分组?
  6. 判断大小简单算法_优化 | 贪婪算法有多好?Submodularity告诉你
  7. CSS隐藏元素的十四种方法
  8. #pragma pack(push,1)与#pragma pack(1)的区别
  9. 一个神奇的测试_一个神奇的测试!测一测孩子的健康成长水平!
  10. 将Office2010版EXCEL文件保存为2007版.XLS文件格式体积巨增解决方法
  11. paip.提升安全---网站登录密码明文传输的登录高危漏洞解决方案
  12. 使用Mathcad解受迫振动微分方程并画图
  13. vbs整人代码,表白+提醒 两段代码就OK
  14. java 数组求极值题目_数组的求极值
  15. 2023秋招--广州光娱--游戏客户端--面经
  16. python输出保留两位小数怎么表示_python格式输出的实现方法保留2个小数位
  17. php 逻辑思维题,倘若3分钟内,你能答对这道智力题,说明你的逻辑思维能力很强...
  18. 向上管理:如何正确汇报工作
  19. 项目团队研发人员离职,如何做好交接?| 每天成就更大成功
  20. 小程序开发特辑—小程序申请及开发环境搭建

热门文章

  1. macOS “应用程序不能打开”
  2. 使用IQmath定点运算调试TI官方的软件锁相环
  3. 经济型振动测量现场动平衡仪---N300
  4. 计算机体系结构量化研究方法(一)
  5. fatal error LNK1169: 找到一个或多个多重定义的符号的 解决办法
  6. android屏幕共享解决方案,Android手机之间实现屏幕共享-Go语言中文社区
  7. 零基础怎样学习3d游戏建模,职业前景怎么样?
  8. HashMap原理以及面试相关
  9. 采样与保持——基于Proteus8 和 51单片机
  10. React学习笔记(五)之父子组件传递参数