上次发布面试100问以后,应读者强烈要求,抽空写了一篇校招版的后端100问,希望对各位应届生面试有用。题目难度类型更偏向基础概念的理解。对于校招,由于在校学生缺乏实际项目经验,考官通常会更加注重学生对于在校所学知识的掌握,知识点的广度是很重要的。

一共整理了100个题目。内容涵盖时序,功耗,PD,PV,工艺,脚本等方面,难度由简入繁,分为5个等级,难度指数说明如下:

1:常识,这个都回答不了的话回家先闭门思过啦。学渣阶段。

2:简单,面试前稍微准备一下应该都能回答。学弱阶段。

3:一般,稍微有一点难度,属于可能答不全的问题。学民阶段。

4:较难,有难度的题目,通常要求有一定的综合性思考能力。学神阶段。

5:很难,非常有难度的题目,能回答出来的可以媲美普通工程师了。学霸阶段。

小编水平有限,有的题目难免会有错,大家可以把这100个题目用做面试前的练习题。如果这100个问题都能解答的话,offer离你就不远了。觉得有用的朋友可以多多转发哦!

PS:题目顺序随机排列,更易记牢

1. 请说一下数字后端主要做了什么事情?(概述性,难度1)

2. 请简单描述一下数字后端的基本流程?(概述性,难度1)

3. 请说一下CMOS集成电路工艺的大致步骤是什么?(工艺,难度2)

4. 请说一下memory的摆放规则?(floorplan,难度2)

5. welltap, endcap cell的作用,以及如何摆放?(place,难度2)

6. Place之后有timing violation,应该怎么办?(place,难度3)

7. CTS的目的是什么?怎么样是一个合格的clock tree? (CTS,难度3)

8. 为什么时钟树需要平衡?不平衡的时钟树有什么缺点?(CTS,难度2)

9. 什么是latch up效应? (工艺,难度2)

10. 芯片功耗主要包含哪些内容?(power,难度4)

11. 请写一段脚本,统计下图中人名出现的次数,并按从多到少次数排列,语言任意(脚本,难度3)

Tom

Jack

Brian

Brian

Jack

Jack

12. 请说一下标准单元的延迟与哪些因素有关?(时序,难度2)

13. 请说一下为什么需要对时序器件进行setup,hold检查?(时序,难度2)

14. 解释一下什么是transition?(时序,难度2)

15. 什么是RC寄生参数,为什么要提取RC寄生参数?(时序,难度2)

16. PVT全称是什么?如何影响我们的芯片?(时序,难度2)

17. timing path根据路径,一般可以划分为哪几种?(时序,难度2)

18. 综合主要做了什么事情?说一下具体综合的流程 (综合,难度3)

19. 工具在优化timing阶段,主要会修复哪些内容? (place, 难度2)

20. 请画一个CMOS反相器的结构图(工艺,难度1)

21. 什么是clock skew?如何计算的?(CTS,难度2)

22. 请写一下setup, hold slack的计算公式 (时序,难度2)

23. create_clock和create_generate_clock有什么区别?(时序,难度3)

24. STA的基本概念,与仿真相比,它的优势是什么?(时序,难度1)

25. STA在什么阶段做,各个阶段的STA都有什么区别? (时序,难度3)

26. LEF文件的主要作用,它和GDSII有什么区别?(数据库,难度2)

27. 了解Finfet工艺么,请简单画一下一个finfet晶体管结构示意图(工艺,难度2)

28. 列举你知道的几种修复setup timing violation的方法,该优先使用哪一种?(时序,难度4)

29. timing signoff报告主要有哪些内容?(时序,难度4)

30. spef文件里面记录了什么内容?如何得到?(时序,难度2)

31. 时钟树走线和普通信号线有什么区别? (CTS,难度2)

32. 为什么memory需要靠边摆放?(floorplan,难度3)

33. 静态功耗的概念,如何降低?请列举一些你知道的方法 (power,难度4)

34. 芯片为什么需要采用纵横交错的走线方式? (route,难度2)

35. 列举一下你知道的修复hold timing violation的方法?(时序,难度3)

36. 我们在修复hold violation时,buffer应该加在什么位置?(时序,难度3)

37. 列举几个常见的drc种类?(route,难度2)

38. CTS之前是如何计算clock path上的延迟的? (CTS,难度2)

39. 正则表达式的匹配1个以及1个以上的,该如何匹配? (脚本,难度1)

40. 请说一下drv的概念,主要包含哪些内容?(时序,难度2)

41. 介绍一下CPPR的概念,为什么需要用到CPPR?(时序,难度3)

42. 说一下Calibre检查drc的具体流程?(PV,难度4)

43. 什么是天线效应(antenna effect)? 说几种你知道的修复方法?(route,难度4)

44. 动态功耗主要包含哪些部分,如何降低?请列举一些你知道的方法 (power,难度4)

45. 你在学校里接触过哪种工艺?说一说这种工艺有什么特点?(工艺,难度3)

46. 请简单自我介绍一下?(凑数,难度1)

47. 请列举一下导入后端设计需要哪些文件?(数据库,难度1)

48. 请列举几个你知道的sdc命令,并说出它的用途?(时序,难度2)

49. 修复timing violation时,首先应该关注什么,有哪些需要注意的点?(时序,难度5)

50. CTS之前需要修复hold violation么,为什么?(CTS,难度2)

51. 在修复hold violation时,delay cell和buffer该优先使用哪一种,各有什么优缺点?(时序,难度3)

52. clock latency的概念,什么情况下需要设置? (时序,难度3)

53. low vt cell和high vt cell有什么区别,各有什么优缺点?(工艺,难度3)

54. 如何判断floorplan摆放质量的好坏?(floorplan,难度5)

55. 电源走线为什么需要使用高层线?(power,难度2)

56. 请介绍几种修复drc的方法?(route,难度4)

57. 什么是crosstalk? 为什么会产生crosstalk? 会带来什么后果?(时序,难度4)

58. 写过sdc么,sdc文件里,主要包含哪些内容,我们该如何约束一个设计?(时序,难度5)

59. 请说一下OCV的概念,为什么需要用到OCV,什么阶段需要OCV,如何实现?(时序,难度5)

60. Def文件的作用是什么?(数据库,难度1)

61. 我们需要在什么阶段做formal验证?(形式验证,难度2)

62. 综合的时候,我们是怎么计算net的delay?(综合,难度2)

63. 为什么选择我们公司?(凑数,难度1)

64. timing signoff需要读入哪些文件?说一下具体的流程(时序,难度4)

65. core里面是如何给标准单元供电的?(power,难度2)

66. sdf文件里面记录了什么内容?如何得到?(时序,难度3)

67. 在生成clock tree时,我们应该使用invertor还是buffer, 为什么?(CTS,难度2)

68. 你知道设置的利用率是如何计算的吗,你的设计利用率是多少?(floorplan,难度3)

69. 碰到routing congestion该怎么办?(route,难度4)

70. 谈谈整个芯片设计的大概流程(概述性,难度1)

71. 从后端角度,对于芯片设计的要做哪些检查?(概述性,难度4)

72. clock时钟一般采用哪层金属走线?(CTS,难度2)

73. 温度对标准单元延迟的影响?(时序,难度1)

74. 下面,我们用英语对话一下(凑数,难度2)

75. rc corner有哪几种?各有什么特点? (时序,难度2)

76. 为什么我们需要优先修复transition和cap? (时序,难度2)

77. 我们一般采用哪些驱动能力的cell去生长时钟树?为什么?(CTS,难度2)

78. route之前的连线和后面最终的连线有什么区别? (route,难度3)

79. 请说出几个你用到的后端工具,他们分别用来做什么?(概述性,难度1)

80. 我们为什么要对net设置fanout限制? (时序,难度2)

81. 为什么采用double width, double spacing的时钟树走线方式?(CTS,难度3)

82. setup和hold violation该优先修复哪种,为什么?(时序,难度3)

83. DFF哪些pin需要做timing检查?(时序,难度3)

84. clock uncertainty主要包含哪些内容?你设置了多少?(时序,难度3)

85. 什么是IR drop? 有IR drop问题应该怎么办?(power, 难度4)

86. calibre里面检查drc和PR工具里面的drc有什么区别?(PV,难度3)

87. 什么是LVS?出现LVS violation时应该怎么办?(PV,难度4)

88. latch和D触发器有什么区别?(工艺,难度1)

89. 你在学校做过后端设计项目么,有多少万门?(概述性,难度1)

90. blockage的作用,应该在什么地方添加?(floorplan,难度3)

91. 为什么要使用物理综合,它的好处是什么?(综合,难度3)

92. 你做的这个后端项目里面时钟树是怎么生长的?(CTS,难度4)

93. 请说一下clock gate cell的结构 (CTS,难度3)

94. 如何把clock skew减小?列举一些你知道的方法?(CTS,难度5)

95. LEC主要检查哪些内容,为什么要做? (形式验证,难度3)

96. 为什么芯片需要在多个view下signoff? (时序,难度3)

97. 说一下检查LVS的具体流程?(PV,难度3)

98. GBA和PBA分析timing有什么区别?(时序,难度3)

99. 什么是EM?有EM问题应该怎么办?(power,难度3)

100. 综合的约束和后端的约束有什么区别?(综合,难度3)


最后祝大家都顺利拿到offer~~

附送:社招版面试100问

【数字后端面试100问(2019全新版)】

往期回顾

静态时序分析STA合集一

静态时序分析STA合集二

时序基本概念介绍<sdc合集>

数字后端基本概念合集(一)

数字后端基本概念合集(二)

数字后端基本概念合集(三)

Low Power概念介绍合集

数字后端dbGet使用方法合集

号外,数字后端交流群招人啦

IC圈的世界杯 | 论芯片设计的胜利十一人

知否?知否?Block PD应该提交哪些数据?

Timing ECO手修攻略

数字后端面试100问(2019全新版)

简历请戳邮箱:taozhang3260@163.com

觉得有用的话,给我点个好看吧

数字后端面试100问(校招版)相关推荐

  1. 艾为数字ic面试题_每日学习:数字后端面试100问(2019全新版)

    关注并标星大同学吧 每天1次,打卡学习 积累1个新知识,增1分职场底气 作者称谓:Tao涛 个人介绍:摸爬滚打多年的数字后端工程师 微信公众号:数字后端IC芯片设计 半导体知识分享第29期 技能升级, ...

  2. 数字后端面试问答No.19-21(每日三问)

    数字后端面试问答No.19-21(每日三问) 文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点.点击进去后出现任何损失与社区无关. 前阵子由于小编忙着编写基于 28nm 工艺 ARM Corte ...

  3. 数字后端面试问答No.10-12(每日三问)

    数字后端面试问答No.10-12(每日三问) 文章右侧广告为官方硬广告,与吾爱IC社区无关,用户勿点.点击进去后出现任何损失与社区无关. 周末了,今天继续为大家分享三道数字后端面试题目.这三道题,其中 ...

  4. 微软公司等数据结构+算法面试100题2010版全部出炉

    微软等公司数据结构+算法面试100题2010版首次完整亮相                         作者:July.2010年12月6日. 更新:现今,这100题的答案已经全部整理出来了,微软 ...

  5. 面试题:460道Java后端面试高频题答案版「模块九:Spring」

    Spring 写在前面 相信对于 Spring 这个模块,只要是做过 Java 开发的同学都是不陌生的,或多或少都使用过 Spring 体系的框架.对于平时自己做点小项目会写配置文件可能就行了,但是对 ...

  6. 【转】MySQL 三万字精华总结 + 面试100 问,吊打面试官绰绰有余(收藏系列)

    MySQL 三万字精华总结 + 面试100 问,吊打面试官绰绰有余(收藏系列) 本文公众号来源:JavaKeeper作者:派大新 写在之前:不建议那种上来就是各种面试题罗列,然后背书式的去记忆,对技术 ...

  7. JAVA后端面试100 QA之第一篇

    转载自  JAVA后端面试100 Q&A之第一篇 1. synchronized和reentrantlock异同 相同点 都实现了多线程同步和内存可见性语义 都是可重入锁 不同点 实现机制不同 ...

  8. 自动化测试面试100问

    自动化测试面试100问 基于python+Selenium+Unittest+BeatifulReport框架实现 1.等待相关 1)隐式等待:imlpicitlyWait:webdriver 会在指 ...

  9. 人事面试100问 上篇

    人事面试一百问 上篇 1. 请你自我介绍一下 2.你觉得你个性上最大的优点是什么? 3.说说你最大的缺点? 4.你对加班的看法? 5.你对薪资的要求? 6.在五年的时间内,你的职业规划? 7.你朋友对 ...

最新文章

  1. vim无法打中文_vim+ctags+cscope+Taglist+Nerdtree打造成sourceinsight
  2. anaconda python降级_anaconda 使用的一些体验与困惑
  3. office2013软件安装资料及教程
  4. 李宏毅深度学习——第一天(Bias and Variance)
  5. 长沙望城:以“速”大干一百天,以“质”实现双过半
  6. Redis实现求交集操作结果缓存的设计方案
  7. boost::static_pointer_cast相关的测试程序
  8. php 一键登录插件,FastAdmin一键管理插件
  9. 6.面向对象的三大基本特征
  10. STM32串口编程易错点
  11. kotlin android 注解,注解 - Kotlin 语言中文站
  12. SoX 音频处理工具使用方法
  13. 更改Xcode项目名及app名称
  14. 第一次读 “Clean” 系列,并没有觉得这是一本多好的书
  15. 2020年高效搬砖必备的IDEA插件(附安装包)
  16. Thread dump文件抓取和分析(JCA工具)
  17. Bugku 分析 特殊后门(wireshark流量包分析)
  18. 一种特定场景去除高光算法
  19. BZOJ4585 [Apio2016]烟火表演
  20. C语言/C++常见习题问答集锦[八十三]之数据结构顺序表(operand types are error: no match for “operator==“)

热门文章

  1. HTML常用标签或属性 英文全称和中文释义
  2. vue的增删改查(elementUI)
  3. 鸿蒙系统大文件夹怎么调整大小,文件太大怎么变小
  4. Uva129 Krypton Factor【dfs回溯】【例题7-5】
  5. 网易我的世界服务器加载无响应,我的世界网易版开始游戏没反应
  6. 多角度透彻理解渐近表示法(大O表示法)
  7. mysql时间格式秒微秒_mysql 时间类型精确到毫秒、微秒及其处理
  8. 备份恢复 --已整理
  9. iOS 送审浅谈:1.4.1、2.1、2.5.2、2.5.4、4.2.3、5.2.5
  10. Ubuntu16.04+RTX3090+python3+cuda11.1+ CUDNN  8.04+anaconda3+pytorch-nightly深度学习环境搭建实录