Verilog 实现四选一选择器

前仿真模块

// An highlighted block
var foo = 'bar';
module  selector_41(sel, a, b, c, d, y);input [1:0] sel; input a;input b; input c; input d; output reg y;always @ (*) begincase(sel)   //case语句:括号为输入的条件2'b00 : y = a;2'b01 : y = b;2'b10 : y = c;2'b11 : y = d;endcaseend
endmodule

后仿真模块

// An highlighted block
var foo = 'bar';
`timescale 1ns/1ps
module  selector_41_tb;reg [1:0] sel; reg a;reg b; reg c; reg d; wire y;selector_41 selector_41_inst(.sel(sel), .a(a), .b(b), .c(c), .d(d), .y(y));initial beginsel = 2'b00;a= 0;b =0; c=0; d=0;#200  sel = 2'b00;a= 0;b =0; c=0; d=1;#200  sel = 2'b00;a= 0;b =0; c=1; d=0;#200  sel = 2'b00;a= 0;b =0; c=1; d=1;#200  sel = 2'b00;a= 0;b =1; c=0; d=0;#200  sel = 2'b00;a= 0;b =1; c=0; d=1;#200  sel = 2'b00;a= 0;b =1; c=1; d=0;#200  sel = 2'b00;a= 0;b =1; c=1; d=1;#200  sel = 2'b00;a= 1;b =0; c=0; d=0;#200  sel = 2'b00;a= 1;b =0; c=0; d=1;#200  sel = 2'b00;a= 1;b =0; c=1; d=0;#200  sel = 2'b00;a= 1;b =0; c=1; d=1;#200  sel = 2'b00;a= 1;b =1; c=0; d=0;#200  sel = 2'b00;a= 1;b =1; c=0; d=1;#200  sel = 2'b00;a= 1;b =1; c=1; d=0;#200  sel = 2'b00;a= 1;b =1; c=1; d=1;#200  sel = 2'b01;a= 0;b =0; c=0; d=0;#200  sel = 2'b01;a= 0;b =0; c=0; d=1;#200  sel = 2'b01;a= 0;b =0; c=1; d=0;#200  sel = 2'b01;a= 0;b =0; c=1; d=1;#200  sel = 2'b01;a= 0;b =1; c=0; d=0;#200  sel = 2'b01;a= 0;b =1; c=0; d=1;#200  sel = 2'b01;a= 0;b =1; c=1; d=0;#200  sel = 2'b01;a= 0;b =1; c=1; d=1;#200  sel = 2'b01;a= 1;b =0; c=0; d=0;#200  sel = 2'b01;a= 1;b =0; c=0; d=1;#200  sel = 2'b01;a= 1;b =0; c=1; d=0;#200  sel = 2'b01;a= 1;b =0; c=1; d=1;#200  sel = 2'b01;a= 1;b =1; c=0; d=0;#200  sel = 2'b01;a= 1;b =1; c=0; d=1;#200  sel = 2'b01;a= 1;b =1; c=1; d=0;#200  sel = 2'b01;a= 1;b =1; c=1; d=1;#200  sel = 2'b10;a= 0;b =0; c=0; d=0;#200  sel = 2'b10;a= 0;b =0; c=0; d=1;#200  sel = 2'b10;a= 0;b =0; c=1; d=0;#200  sel = 2'b10;a= 0;b =0; c=1; d=1;#200  sel = 2'b10;a= 0;b =1; c=0; d=0;#200  sel = 2'b10;a= 0;b =1; c=0; d=1;#200  sel = 2'b10;a= 0;b =1; c=1; d=0;#200  sel = 2'b10;a= 0;b =1; c=1; d=1;#200  sel = 2'b10;a= 1;b =0; c=0; d=0;#200  sel = 2'b10;a= 1;b =0; c=0; d=1;#200  sel = 2'b10;a= 1;b =0; c=1; d=0;#200  sel = 2'b10;a= 1;b =0; c=1; d=1;#200  sel = 2'b10;a= 1;b =1; c=0; d=0;#200  sel = 2'b10;a= 1;b =1; c=0; d=1;#200  sel = 2'b10;a= 1;b =1; c=1; d=0;#200  sel = 2'b10;a= 1;b =1; c=1; d=1;#200  sel = 2'b11;a= 0;b =0; c=0; d=0;#200  sel = 2'b11;a= 0;b =0; c=0; d=1;#200  sel = 2'b11;a= 0;b =0; c=1; d=0;#200  sel = 2'b11;a= 0;b =0; c=1; d=1;#200  sel = 2'b11;a= 0;b =1; c=0; d=0;#200  sel = 2'b11;a= 0;b =1; c=0; d=1;#200  sel = 2'b11;a= 0;b =1; c=1; d=0;#200  sel = 2'b11;a= 0;b =1; c=1; d=1;#200  sel = 2'b11;a= 1;b =0; c=0; d=0;#200  sel = 2'b11;a= 1;b =0; c=0; d=1;#200  sel = 2'b11;a= 1;b =0; c=1; d=0;#200  sel = 2'b11;a= 1;b =0; c=1; d=1;#200  sel = 2'b11;a= 1;b =1; c=0; d=0;#200  sel = 2'b11;a= 1;b =1; c=0; d=1;#200  sel = 2'b11;a= 1;b =1; c=1; d=0;#200  sel = 2'b11;a= 1;b =1; c=1; d=1;end
endmodule

Verilog 实现四选一选择器相关推荐

  1. Verilog练习笔记(四选一多路选择器)

    制作一个四选一的多路选择器,要求输出定义上为线网类型 状态转换: d0    11 d1    10 d2    01 d3    00 信号示意图: 波形示意图: 输入描述: 输入信号   d1,d ...

  2. 四选一、八选一的多路选择器

    1.多路选择器设计方式 case语句 if else 语句 2.四选一的选择器 制作一个四选一的多路选择器,要求输出定义上为线网类型 状态转换: d0    11 d1    10 d2    01 ...

  3. VHDL四选一数据选择器和基本触发器的设计

    主要内容: 本设计主要是利用超高速硬件描述语言VHDL对四选一数据选择器和基本触发器电路进行编程实现. 四选一数据选择器应该具备的功能:在选择信号的作用下,从输入通道中选择某一个通道的数据作为输出. ...

  4. 【校招Verilog快速入门】基础语法篇:VL1、四选一多路器

    文章目录 一.题目 二.题解 一.题目 描述 制作一个四选一的多路选择器,要求输出定义上为线网类型 状态转换: d0 11 d1 10 d2 01 d3 00 信号示意图: 波形示意图: 输入描述: ...

  5. Verilog——74HC151八选一数据选择器并扩展为16选1数据选择器

    Verilog--74HC151八选一数据选择器并扩展为16选1数据选择器 74HC151的仿真 设计思路 采用行为级建模,根据74HC151八选一数据选择器的功能表编程即可. 代码实现 设计模块 / ...

  6. 四选一多路选择器MUX41a

    四选一多路选择器的代码如下,保存路径不能有中文!! 文件名保存为模块名. (Cyclone III-----EP3C40Q240C8) 编译无错误后: File-New-VMF Edit--Inser ...

  7. 二选一选择器-verilog

    //二选一选择器 module mux2_1(input a,input b,input sel,output reg d );always @ (sel,a,b) beginif(sel)d = a ...

  8. 【数字逻辑 Verilog】全面剖析数据选择器——从基础到拓展,从理论到设计的实现,从表面到本质

    0 前言 0.1 使用环境 EDA工具:Vivado 2017.4 硬件描述语言:Verilog HDL 0.2 涉及知识 数字逻辑 Verilog 1 基础模块:一位四选一数据选择器 1.1 设计部 ...

  9. Verilog学习之四选一多路器设计

    文章目录 前言 一.题目描述 二.实现思路 三.代码展示 解法一 解法二 总结 前言 ​ 在前面我们对 Verilog 的基础语法知识进行了学习,对 Verilog也有了一定的了解,接下来的一段时间我 ...

  10. VHDL三输入与门、四选一复用器

    1.三输入与门 --定义头文件 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;--定义实体,定义输入和输出 ENTITY A3 ISPORT(a,b,c:IN S ...

最新文章

  1. Windows 日志高级筛选实践
  2. DM***的第二阶段EIGRP
  3. 十五个常用的 Laravel 集合(Collection)
  4. android鼠标滚轮事件坐标,android 处理鼠标滚轮事件 【转】
  5. web第五章 json
  6. python网络爬虫资源库名_Python网络爬虫
  7. Wireshark-001基本设置
  8. mysql图片数据类型_Mysql数据类型简介(大概了解)
  9. 中国农药中间体行业发展前景预测与投资规划建议报告2021-2027年版
  10. MyBatis教程看这一篇就够啦,简单又全面(IDEA版)
  11. 《如何阅读一本书》读书笔记
  12. windows资源管理器经常出现无响应 window语言栏不见了
  13. 如何通过分析网页源码下载淘宝教育视频
  14. /proc/cpuinfo参数说明查看CPU参数
  15. 前端des加密,后端des解密
  16. 微信小程序相册-笔记1
  17. reshape2揉数据
  18. 提交表单 form submit 不跳转实现
  19. 【mcuclub】称重-HX711
  20. JavaScript知识点4

热门文章

  1. 广义预测控制 matlab,基于MATLAB的广义预测控制设计方法.pdf
  2. 福州化工实验室建设注意隐患分析
  3. MATLAB FFT与fftshift
  4. Idea如何设置Tomcat热部署
  5. 基于AD9833 的DDS信号发生器
  6. Comware 架构理解
  7. python学习笔记(1) - python操作mysql数据库【持续更新中...】
  8. 菜鸟的B4A(B4X)开发成长日志
  9. 阿里出品的最新版 Java 开发手册,嵩山版,扫地僧
  10. gd32f303 设计中断优先级_RTOS内核的设计与实现