一、vcs+verdi常用使用方法
在vcs仿真时调用$dump函数dump出fsdb文件,随后verdi load filelist和fsdb文件来进行debug。
二、debugging with verdi
1、setenv VCS_HOME/VERDI_HOME。
2、vcs编译选项加上-debug_access+all -lca -kdb,-lca表示使用用户限制功能,-kdb是lca下的一个feature,其作用是在vcstwo-step flow
和three-step flow中生成kdb database。
Verdi debug flow:
第一步,vcs analysis,analyzing the design for debug;
第二步,compilation/elaboration,compiling/elaborating the design for bug。Vcs -debug_access+r -lca -kdb generates KDB database and simv ,vcs编译完后生成simv.daidir库文件,其中包含kdb.elab++文件。
第三步、交互模式interactive simulation debug, 使用simv -gui命令 load simv and KDB database in Verdi。直接使用verdi加载编译文件并进行仿真。后处理模式post-processing debug,simulate thedesign and generate Fast Signal Database(FSDB) file。Load KDB database and FSDB file in verdi. verdi -ssf <fsdb_file>。使用vcs进行仿真生成fsdb文件,再打开verdi加载代码和波形进行debug。
-kdb=only
用来在vcs two-step flow 产生verdi kdb文件,不支持vcs three-step flow,不产生vcs编译文件。
1、如果只想生成simv.daidir/kdb.elab++, VCS编译时,用-lca -kdb=only。
2、如果生成.simv之后没有仿真产生fsdb,那么通过verdi -dbdir ./simv.daidir可以打开verdi导入工程。这说明上面的那个命令verdi -ssf test.fsdb虽然没有指定-dbdir,但是它默认找到了。完整的命令应该是verdi -ssf test.fsdb -dbdir ./simv.daidir。

-kdb debugging with verdi相关推荐

  1. 使用M0 DesignStart 的样例SoC(example system) - 3 verdi环境配置

    对样例SoC配置verdi,用其进行debug 目录 使用verdi快速启动,节省编译时间 使用verdi快速启动,节省编译时间 首先VCS能够工作的前提是有filelist(vcs使用-f参数), ...

  2. VCS学习笔记(二)

    前言 VCS仿真分两步法和三步法,两步法包含:Compiling.Simulating:三步法包含:Analyzing.Elaborating.Simulating. 两步法只支持Verilog和sy ...

  3. 2020-12-11

    瞬间打开Verdi 转载2018-02-12 22:49:31 标签:verdi vcs kdb 提升verdi速度 VCS和Verdi是一对好基友,二者相生相伴N多年.VCS为编译仿真工具,Verd ...

  4. #VCS# 关于Verdi KDB 数据库

    verdi加载的是Knowledge Database (KDB),这是一个 Elaboration Database elabDB.建议通过 vcs -kdb -lca 生成kdb.elab++,位 ...

  5. verdi bin工具

    verdi安装目录下会有很多小的工具: 1)波形类型转换类:fsdb2saif,fsdb2vcd, log2fsdb(只能增加某些周期性信号,从log txt直接产生fsdb波形,适合于siloti的 ...

  6. VCS+dve+verdi仿真

    version2.0 一.VCS+dve: 1. 编写.v文件.以计数器为例. counter.v文件 counter_tb.v文件 2. 终端输入 vcs -full64 counter.v cou ...

  7. 数字IC设计随笔之二(VCS、DVE|Verdi单步调试)

    VCS.DVE|Verdi单步调试 使用VCS+Verdi进行仿真时,需要在仿真文件中添加如下代码: initial begin$fsdbDumpfile("test.fsdb") ...

  8. vcs+verdi简单的仿真

    虚拟机使用的是安装好工具的,默认环境安装好. 两个模块,一个tb.v,一个led.v tb.v如下: `timescale 1ns/1ps module tb();reg clk;reg arst;w ...

  9. linux VCS+verdi运行UVM实战(第二章)中的例子

    目录 前言 介绍 建立工程 运行代码 查看波形 总结 前言 用VCS+verdi运行了下UVM实战中的例子(第二章). 介绍 在某宝上花了几十块,买了个虚拟机(已经安装好VCS+verdi).直接用U ...

最新文章

  1. python2好还是python3好-总结对比Python2和Python3之间的区别
  2. MAX Script 脚本语言
  3. GIS可视性分析概述
  4. 三星a7108android 7.0,三星A7108系统运行速度变慢变卡顿了_怎么进行具体的刷机教程...
  5. import cv2时ImportError: libjasper.so.1: cannot open shared object file: No such file or directory
  6. TCP/IP三次握手与四次握手
  7. mac mysql 链接_mac上搭建mysql环境配置和Navicat连接mysql
  8. androidstuio实现页面跳转_vue-router 基础:4类路由跳转示例
  9. 免费报名 | DataFunCon:自然语言处理论坛
  10. 时代杂志评选了08年50个最棒的网站
  11. abaqus6.14安装教程 如何设置中文
  12. arduino 智能车组装步骤_Arduino智能小车硬件安装说明
  13. Android 文件下载三种基本方式
  14. STM32 通用 Bootloader
  15. vue+ele 表格 根据表格字段名称显示前端图片文件夹对应图片 没有图片显示单独图片
  16. C#的get和set用法
  17. 推荐系统(十九)Gate网络(二):百度GemNN(Gating-Enhanced Multi-Task Neural Networks)
  18. 第13课:构建神经网络模型的实用建议
  19. 1.6 mocha配置文件的使用
  20. Tomcat多实例与负载均衡

热门文章

  1. 华为鸿蒙系统强势来袭,华为鸿蒙系统强势来袭,取其精华,去其糟粕!
  2. 用unity做一个发射子弹的模拟
  3. 记录一下首次调用wx公众号JSSDK心路
  4. NB-IoT单灯控制器方案
  5. python 图标字体_Python+PyQt:使用图标字体打造无边框通用导航界面
  6. 如何走路---户外徒步的三十条原则
  7. 利用电脑自带的性能监视器进行资源监控
  8. 解决电脑(win10)打开右键反应很慢--测试有效
  9. 【笔记】《单片机原理与应用》(底部原文下载链接)
  10. ESP8266-Arduino编程实例-L9110直流电机风扇传感器模块