version2.0

一、VCS+dve:

1. 编写.v文件。以计数器为例。

counter.v文件

counter_tb.v文件

2. 终端输入 vcs -full64 counter.v counter_tb.v -debug_all

-full64是64位linux操作系统,这里可以将vcs -full64写入环境变量中,用vcs代替。

-debug_all用于产生debug所需的文件。

1)debug: 只打开一点

2)debug_all:全部打开

3)debug_pp:保证打开开关最多的情况下,对性能影响最小的

3. 观察生成了一个simv可执行文件,运行./simv -gui 打开dve软件。

还有一些是附加命令,意义如下。

-l xxx.log 用于将编译产生的信息放在log文件中。

+v2k用于verilog兼容2001年之前的标准。

-f verilog.f 用于将.f中的verilog文件全部编译。

-R 编译后立即运行,即编译完成后立即执行 ./simv

-Mupdate 源文件有修改时,只重新编译有改动的.v文件,节约编译时间。

-sverilog 打开对Systemverilog的支持,编译Systemverilog文件时使用。

-timescale=1ns/1ns 设置仿真精度。

-o simv_file 编译默认产生的可执行文件为simv,可以使用 -o 更改可执行文件名。

4. 右键模块,NEW WAVE加载波形即可。

针对以上繁琐的命令,学习了makefile脚本。

编译的时候只需要输入make all就可以自动编译加载波形窗口了。只需要更改file.f里的内容即可。

file.f如下

二、VCS+Verdi

1. 修改环境变量添加到.bashrc中,source之后,环境配置完成。如下所示

2. vim一个Makefile脚本,内容如下

-kdb -lca是为了生成verdi和VCS的交互文件。添加-kdb选项支持输出KDB格式的数据,用于与Verdi在交互模式交换数据,而KDB格式属于"Limited Customer Availability"特性,必须通过-lca选项开启。此后可以在当前文件夹下的simv.daidir文件夹中找到kdb.elab++文件夹。

3. make all一下开始仿真。

4. 通过命令同时打开波形文件和设计文件。

verdi counter.fsdb -f file.f

这里我有一个问题,直接打开之后我的波形一直是NF,这样所示

解决办法是在VCS的命令行ucli中输入一个run

然后回到verdi中reload就可以有波形了。如果嫌麻烦,可以直接在tb里$finish,就可以直接结束。

以上就是VCS+dve+verdi联合仿真的方法。

VCS+dve+verdi仿真相关推荐

  1. Linux系统中VCS、Dve Verdi的使用

    1. 资料来源: 1)http://bbs.eetop.cn/thread-633801-1-1.html 2)https://blog.csdn.net/Chi_Hong/article/detai ...

  2. 数字IC设计随笔之二(VCS、DVE|Verdi单步调试)

    VCS.DVE|Verdi单步调试 使用VCS+Verdi进行仿真时,需要在仿真文件中添加如下代码: initial begin$fsdbDumpfile("test.fsdb") ...

  3. Linux下VCS和Verdi的联合仿真实例教程

    首先得在Linux下配置好VCS和Verdi的环境,这个方面我就不再这里赘述了,你可以在命令行输下which vcs和which verdi看有没有信息,有信息的话就说明已经安装. 要在Linux下用 ...

  4. 如何用VCS+Verdi仿真Xilinx IP

    文章目录 如何用VCS+Verdi仿真Xilinx IP 1. VCS以及Vivado的版本问题 2. 使用VCS编译Vivado的IP库 3. 使用Vivado工具调用VCS进行仿真 1.新建带有I ...

  5. Ubuntu18.04安装VCS、Verdi、dve全套教程亲测(有成功截图)

    通过下面十个步骤,我们就可以在ubuntu上使用vcs.verdi.dve等软件! 下面是成功截图(为证): 一.安装ubuntu系统,这个不在这里讲了,重点安装VCS.Verdi等软件 下面的过程一 ...

  6. vcs+verdi仿真Verilog代码

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形. 源文件内容如下: //adder.v module adder(input clk,input rst,i ...

  7. Linux下VCS与Verdi联合仿真简易教程及例子示范

    VCS与Verdi联合仿真简易教程及例子示范 最近在公司实习,最近也恰好在学习Linux下的IC设计环境,涉及到了VCS与Verdi联合仿真等内容,也切身感觉到,和学校学习的内容是如此的不同,此篇便来 ...

  8. verdi使用linux命令,vcs和verdi的调试及联合仿真案例

    环境配置 首先搭建好vcs和Verdi都能工作的环境,主要有license问题,环境变量的设置.在220实验室的服务器上所有软件的运行环境都是csh.所以,所写的脚本也都是csh的语法. 生成波形文件 ...

  9. VCS和Verdi的安装解决问题记录

    VCS和Verdi的安装问题记录 安装系统环境 :Centos 7 主要参考如下内容: 从零开始VCS+Verdi 关于CentOS7安装VCS等EDA仿真软件过程中遇到的问题 安装注意事项: 整个过 ...

最新文章

  1. ScaleIO 1.32现在可以免费下载安装使用了(除生产环境之外)
  2. Linux 交换文件已存在解决办法
  3. 自己封装的Windows7 64位旗舰版,微软官网上下载的Windows7原版镜像制作,绝对纯净版...
  4. 使用Moq框架的各种模拟设置
  5. 吴恩达深度学习4.2练习_Convolutional Neural Networks_Happy House Residual Networks
  6. VB 在浏览器中打开指定网址
  7. word里面用mathtype编辑公式转成PDF后出现乱码
  8. DNS服务器之二:从服务器的实现
  9. typedef用法总结(一)
  10. MySQL 创建注册页面_网站添加注册/登录界面
  11. 自动操作: mac新建文本文档
  12. 2022年6月25日PMP考试通关宝典-4
  13. python爬取别人qq空间相册_Python网络爬虫5 - 爬取QQ空间相册
  14. phpQuery中文手册(更新中)
  15. Python中如何求分数化简
  16. Android获取外网IP地址
  17. 云烟成雨,我多想再见你,
  18. XILINX FPGA 7系之 Distribute RAM
  19. nginx + ftp搭建文件服务器
  20. Bootstrap实战 - 响应式布局

热门文章

  1. iOS开发 动画(Animation)图片360度不停旋转
  2. 联想开机启动项按哪个_联想台式电脑设置第一启动的快捷键是什么
  3. 冯·诺依曼结构:现代计算机的诞生
  4. Andy’s First Dictionary(安迪的第一部词典)
  5. 叮咚~ 你有一份令人心动的offer待查收【cv君独家内推】
  6. 同城小程序 30.0 完整版源码(含全部插件)
  7. 大数(10^9)求欧拉数
  8. [转贴]一位营销总监的辞职信(非常经典)
  9. 解决pip无法更新问题
  10. chrome无法检查更新解决方法