功能介绍

动态重新配置端口(DRP)允许动态改变GTXE2_CHANNEL/GTHE2_CHANNEL和GTXE2_COMMON/GTHE2_COMMON原语的参数。DRP接口是一个对处理器友好的同步接口,有一个地址总线(DRPADDR)和分离的数据总线,用于向原语读取(DRPDO)和写入(DRPDI)配置数据。启用信号(DRPEN)、读/写信号(DRPWE)和准备/验证信号(DRPRDY)是实现读写操作、指示操作完成或指示数据可用性的控制信号。

端口描述

GTXE2_CHANNEL/GTHE2_CHANNEL的DRP端口

端口 方向 时钟域 描述
DRPADDR[8:0] IN DRPCLK DRP地址总线
DRPCLK IN N/A DRP接口时钟
DRPEN IN DRPCLK DRP启用信号。0: 不进行读或写操作。1: 启用一个读或写操作。对于写操作,DRPWE和DRPEN应该只在一个DRPCLK周期内被驱动为高电平。对于读操作,DRPEN应该只在一个DRPCLK周期内被驱动为高电平。
DRPDI[15:0] IN DRPCLK 数据总线,用于从FPGA逻辑资源向收发器写入配置数据。
DRPRDY OUT DRPCLK 表示写操作已完成,数据对读操作有效。
DRPDO[15:0] OUT DRPCLK 数据总线,用于将配置数据从 gtx/gth 收发器读取到 fpga 逻辑资源。
DRPWE IN DRPCLK DRP写启用。0:当DRPEN为1时进行读操作。 1:当DRPEN为1时进行写操作。对于写操作,DRPWE和DRPEN应该只在一个DRPCLK周期内被驱动为高电平。

GTXE2_COMMON/GTHE2_COMMON的DRP端口

一致:

端口 方向 时钟域 描述
DRPADDR[8:0] IN DRPCLK DRP地址总线
DRPCLK IN N/A DRP接口时钟
DRPEN IN DRPCLK DRP启用信号。0: 不进行读或写操作。1: 启用一个读或写操作。对于写操作,DRPWE和DRPEN应该只在一个DRPCLK周期内被驱动为高电平。对于读操作,DRPEN应该只在一个DRPCLK周期内被驱动为高电平。
DRPDI[15:0] IN DRPCLK 数据总线,用于从FPGA逻辑资源向收发器写入配置数据。
DRPRDY OUT DRPCLK 表示写操作已完成,数据对读操作有效。
DRPDO[15:0] OUT DRPCLK 数据总线,用于将配置数据从 gtx/gth 收发器读取到 fpga 逻辑资源。
DRPWE IN DRPCLK DRP写启用。0:当DRPEN为1时进行读操作。 1:当DRPEN为1时进行写操作。对于写操作,DRPWE和DRPEN应该只在一个DRPCLK周期内被驱动为高电平。

使用模型

写操作

下图显示了DRP写操作的时序。当DRPRDY有效时,新的DRP操作可以被启动。

读操作

下显示了DRP读操作的时序。当DRPRDY有效时,新的DRP操作可以被启动。

近期回顾

  • GT Transceiver的回环模式

  • GT Transceiver的电源控制

  • GT Transceiver的复位与初始化(4)RX初始化和复位流程

  • GT Transceiver的复位与初始化(3)TX初始化和复位流程

  • GT Transceiver的复位与初始化(2)CPLL复位以及QPLL复位

  • GT Transceiver的复位与初始化(1)Transceiver复位的两种类型和两种模式

  • GT Transceiver中的重要时钟及其关系(10)RXOUTCLK的来源及其生成

  • GT Transceiver中的重要时钟及其关系(9)RXUSERCLK和RXUSER_CLK2的生成

  • GT Transceiver中的重要时钟及其关系(8)TXOUTCLK的来源及其产生

  • GT Transceiver中的重要时钟及其关系(7)TXUSRCLK以及TXUSRCLK2的产生

  • FPGA 核和FPGA Fabric的区别是什么?

  • GT Transceiver中的重要时钟及其关系(6)TXUSRCLK以及TXUSRCLK2的用途与关系

  • GT Transceiver中的重要时钟及其关系(5)QPLL的工作原理介绍

  • GT Transceiver中的重要时钟及其关系(4)CPLL的工作原理介绍

  • GT Transceiver中的重要时钟及其关系(3)多个外部参考时钟使用模型

  • GT Transceiver中的重要时钟及其关系(2)单个外部参考时钟使用模型

  • GT Transceiver中的重要时钟及其关系(1)GT Transceiver参考时钟

  • FPGA的设计艺术(11)FPGA的构建过程

  • FPGA的设计艺术(8)最佳的FPGA开发实践之严格遵循过程

  • GT Transceiver的总体架构梳理

  • Vivado中用于时钟操作的几个Tcl命令

  • 高速串行总线设计基础(六)揭秘SERDES高速面纱之数据包与参考时钟要求

  • 高速串行总线设计基础(八)揭秘SERDES高速面纱之CML电平标准与预加重技术

  • FPGA基础知识极简教程(1)从布尔代数到触发器

  • FPGA基础知识极简教程(7)详解亚稳态与跨时钟域传输

  • 静态时序分析中的四类时序路径

  • 时序分析中的关键术语

  • 高速串行总线设计基础(一)同步时序模型介绍

  • 高速串行总线设计基础(四)眼图的形成原理

  • FPGA设计心得(10)关于行为仿真的一点观点

  • Vivado如何计算关键路径的保持时间裕量?

  • Vivado如何计算关键路径的建立时间裕量?(实践篇)

  • Vivado如何计算关键路径的建立时间裕量?(理论分析篇)

  • TCL中Ports/Pins/Nets/Cells/Clocks之间的交互关系?

  • TCL中关于Nets的一些用法?

  • TCL中关于Cells的一些使用方法?

  • TCL中关于Pins的一些使用方法?

  • TCL中关于管脚(Ports)的一些使用方法?

  • 逻辑设计中复位的稳妥处理方法?

  • 逻辑设计中需要显式地使用IBUF以及OBUF类似的原语吗?

  • FPGA的设计艺术(1)FPGA的硬件架构

GT Transceiver的动态重配置端口相关推荐

  1. docker port如何增加端口_Docker 动态添加端口映射解决思路

    Docker 动态添加端口映射? docker 创建容器的时候,我们如果使用docker的桥接网络(bridge)那么一般需要暴露容器内一些端口到宿主机上,也就是容器与宿主机间的端口映射.最近很多的人 ...

  2. [prometheus]Step11-prometheus动态监控服务器端口并告警

    办法比较捞- 办法比较捞- 办法比较捞- 有大佬有好的方法或者现成的代码提供小弟感激不尽!! 之前zabbix做了动态监控服务器上端口监控的告警,换到prometheus 暂时还没有发现怎么做.因为有 ...

  3. 思科模拟器教程-OSPF动态路由端口认证

    OSPF动态路由:开放式最短路径优先 属于内部网关协议 没有跳数的限制 适用于大型网络 拓扑图 实验环境: Cisco Packet Tracer 6.0 两台2811路由器 两台PC 设备 接口 I ...

  4. zookeeper动态重配置操作

    1.集群搭建(/data/myid为0,1,2, 3) docker run --name zookeeper-0 --restart always -d -p 2181:2181 \ -p 2888 ...

  5. SSH隧道动态转发端口实现SOCKS代理 + HTTP代理(Privoxy)

    一.文章概要 实现效果:ssh连接远程服务器进行网络转发,本地服务连接网络代理 环境:windows11/10 需要工具:MobaXterm(ssh隧道端口转发),Privoxy(socks转http ...

  6. GT Transceiver的TX Buffer功能块

    前言 上篇文章讲了8B/10B编码功能模块,这篇文章讲的是TX Buffer,但是在正式进入主题之前,为了内容的完整性,提一下Tx Gearbox,这是个什么? 我们看下图: TX Gearbox和8 ...

  7. TX Pattern Generator功能块

    功能描述 伪随机比特序列(PRBS)通常用于测试高速链接的信号完整性.这些序列看起来是随机的,但具有特定的属性,可用于测量链路的质量.GTX/GTH收发器模式生成器模块可以生成表所列的几种行业标准PR ...

  8. TX的8B/10B编码功能

    Transmitter功能介绍 每个收发器(Transceiver)包括一个独立的发射器(Transmitter),它由PCS和PMA组成.下图显示了发射器(Transmitter)的功能块.并行数据 ...

  9. RAPIDIO(SRIO)动态调节速率设计方案

    1. 概述 本文用于讲解xilinx FPGA中的RAPIDIO的DRP的配置情况,用实例演示配置过程,实现动态调节RAPIDIO的线速率的方案. 名词解释: GTXE2_CHANEL :FPGA的高 ...

最新文章

  1. 2022-2028年中国快捷酒店行业市场全景评估及前瞻分析报告
  2. C++11 :STL中的 iota ()函数
  3. codeproject网页翻译
  4. 在Chrome 中调试Javascript
  5. 服务器json文件怎么创建对象,如何从json文件(或xml文件)创建vb.net对象类
  6. 10个常见的JS语言错误总汇
  7. php毕业设计遇到的问题,常见问题_php毕业设计_php课程设计_php大作业_原创作品下载网...
  8. 可以让你少奋斗十年的工作经验
  9. 冉莹颖与邹市明的有情人终成眷属
  10. CVPR2019| 最新CVPR2019论文抢先看!
  11. 怎么调整矩形边框宽度_PPT内容太少,怎么做才不会单调?
  12. 使用com.aspose.words将word模板转为PDF乱码解决方案(window下正常)
  13. KNN——K nearest neighbor
  14. html页面 关键字高亮,HTML高亮关键字的完美解决方案
  15. 计算机语言英语作文80词,春节英语作文80字【带中文翻译】-太平洋电脑网
  16. python rgb颜色表_[置顶] RGB颜色查询对照表
  17. Python 之 pygame 学习(入门知识)
  18. 展开说说:Adobe XD 哪个版本好用?
  19. sqlloader 导入数据的一点经验教训(最后附我的导入过程)
  20. TCP UDP IP

热门文章

  1. 查看Linux发行版的名称和版本号
  2. NYOJ-139 我排第几个
  3. 最老程序员创业札记:全文检索、数据挖掘、推荐引擎应用29
  4. 自习室网上预约系统设计_港澳居民“回乡证”出新规啦!新系统网上预约更方便!...
  5. vlookup反向查询_【Excel 函数】Vlookup 正反向查询
  6. 2021桓台高考成绩查询,桓台中考成绩查询2021
  7. oracle 数据精度转换,oracle数据值型数据改精度测试
  8. Java设计模式(代理模式-模板方法模式-命令模式)
  9. 基于IAR上搭建开发MM32的环境
  10. 第十六届全国大学生智能汽车竞赛航天智慧物流总决赛暨第二届“航天杯”移动机器人AI创新技术挑战赛圆满举办