Verdi=Debussy是Novas公司的debug工具;

    SynopsysVCS,Candence NC-Verilog,Mentor Graphics波形仿真工具
(1) Verdi 和Debussy是 Novas公司的debug工具,不是仿真工具,本身不具备仿真功能;
(2) Verdi 是Debussy的升级版;两者大同小异;
        Verdi是Linux版本;Debussy是Windows版本;
(3) Debussy可以预存设计中所有信号的波形,使用选项 -DFSDBALL;
       仿真需要新调入信号进行观测时,可以即时调入而无需重新跑仿真;
 (4)Debussy由于本身不具有仿真功能,需要由Modelsim等仿真软件生产fsdb波形文件;
 (5)Novas公司于2008年被SpringSoft公司收购,SpringSoft思源科技(厦门的公司)
 (6)Debussy,它最强大的功能是:能够在HDLsource code、schematic diagram、waveform、state bubblediagram之间,即时做追踪trace,协助工程师debug。
Verdi系统的新SVA功能提供设计者一个更快和更容易的方式,去使用Assertion和Assertion本身提供的好处,同时更进一步提升Assertion自动化除错过程,运用强有力的引擎增强和分析其它工具产生的结果,快速找到错误的根源与原因。

工程师能够从SVA语言描述中进入到Verdi的环境,检视和横越完整的原始码和层级架构,并且很容易审视Assertion的结构,例如使用者能够在表格中分析错误和覆盖、分类及过滤数据,以突显造成错误的原因,并把结果贴在原始码中,工程师轻易的从原始码即可看到所有的信息,而不须从复杂的波形中寻找;同时,该系统能够直接指出从Assertion发现错误的地方到引发问题的错误讯号中间的追踪流程,自动分解Assertion并确认错误的叙述,以直接追踪到问题的根节。

仿真工具:SynopsysVCS,Cadence NC-Verilog, Verilog-XL,以及MG的Modelsim和Questasim

debussy的新版本就叫verdi了debussy是数字电路设计过程中的debug工具,有三个基本窗口:source code window: 提供了一个比较友好的界面,将整个设计的source code按设计的层次结构以树状排布,并且可以在代码上反标仿真结果,支持查找、寻找驱动等一些debug常用的操作schematic window: 将设计原代码提取成电路图,有Hierarchy和Flatten两种方式显示,并且能够提取电路的一部分单独显示,或者是提取某个信号的输入/输出电路等,能够很方便的查勘信号、模块之间的电路关系,同样也可以反标仿真结果;waveform window: 可以载入vcd 和 fsdb格式的仿真波形文件,可以在波形窗口中进行查找某个数值/跳变,进行波形比较,在波形窗口中进行driver、load的追踪等以上三个窗口是相互关联的,因此综合使用上面三个窗口可以得到很多种debug的方法,大大提高debug的效率。verdi是debussy的升级版本,增加了好几个强大的功能,其中最重要的是增加了一个分析引擎,能够自动识别设计代码的逻辑关系,与仿真波形进行综合比较后,就能够准确定位出整个设计中任意时刻的任意信号对应的逻辑模块。在这个分析引擎的基础上,添加了一个新的窗口叫做temporal flow view,使用这个窗口,可以帮助你自动化完成对指定信号某一时刻跳变的源头的追踪,并以电路图的方式,加上时钟,将整个产生这个跳变信号的电路路径显示在窗口中。Verdi是給編程時多加入一些設計規格的資訊,方便後來接手的人可以迅速瞭解整個設計,不過用得人似乎不多加代码设计规格的是nLint,是Novas的另外一个产品,和verdi不一样的。nLint是用于设计规则检查的,Verdi是Debug工具说实话,都差不多Verdi = deubssy沒錯,早期叫debussy,那時有windows的版本,後來進化到6.0以後,就只出linux版本,而且改名為Verdi,功能則是大同小異verdi和debussy的功能是一样的都是用来看simulation 的结果

一般来说 我感觉在查看波形时很多操作是Modelsim更顺手而Modelsim看新信号需要重新仿真一次 时间长的仿真效率就明显低

Debussy优势是可以保存所有信号到一个db文件里 想看哪个看哪个 导出为文本进行后处理也方便但Debussy不支持64位Windows是个很讨厌的事 而且在Windows系统上也不更新了 很多新功能只能在Linux装Verdi来用

结论就是如果用Linux 首选Verdi

Windows上小仿真用Modelsim复杂的仿真首选是不看波形(而是通过打印关键信息和输出到文件来效率更高) 或者用Linux来弄

Novas Verdi、Debussy ,Synopsys VCS,Candence NC-Verilog,Mentor Graphics工具介绍相关推荐

  1. VCS仿真VHDL VERILOG混合脚本

    IC小白有感于第一次参与的流片工程,总结了一下参与过程中的Makefile配置,以及一些环境配置,希望能够帮助到大家: 首先VCS要进行VHDL和VERILOG的混合仿真,在进行仿真VHDL时要配置s ...

  2. SYNOPSYS VCS Makefile文件编写与研究

    SYNOPSYS VCS Makefile文件编写与研究 这个Makefile是synopsys提供的模板,看上去非常好用,你只要按部就班提供实际项目的参数就可以了.我们来看这个文件的头部说明: ma ...

  3. Ubuntu18.04 LTS 安装 Synopsys VCS及一些问题

    Ubuntu18.04 LTS 安装 Synopsys VCS+Verdi问题解决 具体安装内容各位可以参考自 huayangshiboqi 的文章VCS+Verdi 安装及破解过程(Ubuntu), ...

  4. verdi\debussy的使用技巧

    verdi\debussy的使用技巧 转载from 大西瓜FPGA 大西瓜FPGA-->https://daxiguafpga.taobao.com fsdb display Debussy本身 ...

  5. Synopsys VCS工具介绍

    概述 VCS全称为Verilog Compiled Simulator VCS仿真 当我们完成了一个模块的设计文件编写后 ,需要 使用VCS进行编译(compile),生成优化的仿真可执行文件 对该V ...

  6. Cadence和Synopsys工具介绍

    参考博文:https://blog.csdn.net/qq_28284627/article/details/52062031 和 https://blog.csdn.net/palaciopku/a ...

  7. 优秀的 Verilog/FPGA开源项目介绍(七)- CAN通信

    优秀的 Verilog/FPGA开源项目介绍(七)- CAN 0.CAN总线介绍 <[科普]CAN总线介绍及FPGA实现方案简介> 1.CAN权威文档 CAN总线有两个ISO国际标准:IS ...

  8. 优秀的 Verilog/FPGA开源项目介绍(十二)- 玩FPGA不乏味

    优秀的 Verilog/FPGA开源项目介绍(十二)- 玩FPGA不乏味 Hello,大家好,之前给大家分享了大约一百多个关于FPGA的开源项目,涉及PCIe.网络.RISC-V.视频编码等等,这次给 ...

  9. 优秀的 Verilog/FPGA开源项目介绍(一)-PCIe通信

    优秀的 Verilog/FPGA开源项目介绍(一)-PCIe通信 今天开始会陆续介绍一些优秀的开源项目,项目基本都是和FPGA或HDL相关的.对于一些找工作或者急需项目经验的人来说,这些项目都有一定的 ...

最新文章

  1. R语言可视化包ggplot2绘制分组的条形图(bar plot、柱状图)实战:多变量柱状图
  2. Axure RP 简介
  3. IntelliJ IDEA 中的Java Web项目的资源文件复制新增如何更新到部署包中?
  4. liteIDE搭建Go Golang 开发环境图文详解
  5. 生成二维码如何制作二维码
  6. php 苹果手机传图,苹果手机照片怎么传到另一个手机的方法【图文教程】
  7. 上海交通大学电子与通信工程819考研上岸经验分享
  8. win10下,更改程序磁贴图标
  9. SPA(单页面应用)总结
  10. 微信小程序解密过程(java)
  11. 非常好看的一款404错误页面
  12. nyoj 83-迷宫寻宝(二) (计算几何, 叉积)
  13. 基于51单片机的蓄电池容量检测系统的设计(设计资料)
  14. 网络安全技术 | 勒索软件的特征和防范
  15. mysql迁移版本_MySQL 5.7迁移升级8.0版本
  16. 链队列的实现 C语言
  17. Chrome浏览器无法安装
  18. Scratch2Cards来自官网
  19. 仅需1秒!搞定100万行数据:超强Python数据分析利器!掌握了吗!
  20. 如何在SQL中将某个算出来的值平摊到每一行中使用

热门文章

  1. oracle视图能增删改,oracle视图的增删改
  2. mfc倾斜文本输入_文本检测知识梳理(持续更新)
  3. 一个c加一个g是什么牌子_一个G的流量60块钱,为什么会这么贵?
  4. python dataframe数据类型_python-Pandas DataFrame,1、2、3和NaN值的默认数据类型
  5. cpu多核 node 单线程_详解node单线程实现高并发原理与node异步I/O
  6. 计算机保存文档,2010年职称计算机考试:保存文档
  7. html如何让边框变圆,CSS怎样做出自适应圆形边框?
  8. linux怎样创建硬链接,Linux下创建软、硬链接
  9. java数据结构库函数_Java8 内置函数(api)总结
  10. java arraylist 序列化_无法序列化/反序列化ArrayList