verdi\debussy的使用技巧

转载from 大西瓜FPGA

大西瓜FPGA-->https://daxiguafpga.taobao.com

fsdb display

Debussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化。

如何在modelsim里面产生.fsdb文件呢?可以在testbench文件里面输入如下代码:

initial begin

$fsdbDumpfile("Debussy.fsdb");//文件名称可以自行设定

$fsdbDumpvars;

end

nWave工具的使用

有几个操作知识点:

1、改变信号位置,可以通过按住鼠标中键(即滚轮)进行移动。

2、如果想改变某个信号的颜色显示,可以在左边选中该信号,然后在菜单栏中WaveForm-> Color/Pattern进行选择。

3、右边波形窗口中有两条线,分别是黄线代表光标时间线(鼠标左键来点击),白色代表标记时间线(鼠标右键来点击),两者的数值在工

verdi\debussy的使用技巧相关推荐

  1. verdi/debussy的快捷键 以及基本操作

    转自http://blog.sina.com.cn/s/blog_6582c5f30102v9ik.html verdi的快捷键 g:加载信号 Get signals x:标注信号的值(在源代码中)A ...

  2. vcs+verdi/Debussy

    1.修改filelist 2.控制台进如sim目录,设置环境变量 . /etc/profile 3.make auto 4.exit 5.make verdi 6.make wave 参考: http ...

  3. Novas Verdi、Debussy ,Synopsys VCS,Candence NC-Verilog,Mentor Graphics工具介绍

    Verdi=Debussy是Novas公司的debug工具:     SynopsysVCS,Candence NC-Verilog,Mentor Graphics波形仿真工具 (1) Verdi 和 ...

  4. debussy相关资料(持续更新。。。)

    都是一些网上搜的资料,在这里汇总一下 1.https://blog.csdn.net/carlsun80/article/details/77096079(Debussy在win7系统下安装.编译xi ...

  5. 『转载』Debussy快速上手(Verdi相似)

    『转载』Debussy快速上手(Verdi相似) Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是 ...

  6. verdi windows版本[使用debussy 5.4]

    linux下习惯用cadence的ncverilog电路图方式,分析代码信号的drive和load. windows下,可以学习一下debussy(verdi前身).听说大公司,都推荐verdi,所以 ...

  7. Verdi(debussy)中查看memory

    文章来源于 左左右右 在测试文件中加入: initial begin   $fsdbDumpfile("../database/test.fsdb"); //产生fsdb文件   ...

  8. Verdi的使用技巧总结

    Verdi的使用技巧总结 1,加载信号 2,放大缩小 3,波形文件保存.rc 4,移动波形窗口信号位置 5,对信号的操作 6,显示信号全路径 7,显示状态机及其名称 8,改变信号和波形颜色 9,参考信 ...

  9. 使用Verdi或DVE分析波形的一些小技巧

    本文记录使用Verdi或DVE查看delta cycle的方法和分享一些分析波形的小技巧. 文章目录 查看Delta Cycle的方法 Verilog和SV的仿真调度机制 使用Verdi查看Delta ...

最新文章

  1. 使用gradle构建android项目,Android中使用Gradle来构建App项目的入门指南
  2. 计算机编程导论python程序设计答案-学堂在线_计算机科学与Python编程导论_作业课后答案...
  3. 第六章-template模板
  4. IA32中栈帧结构图
  5. Oracle jdk 历史版本官方下载地址及下载方法
  6. oracle presentation = ro,设置sqlplus访问远程oracle数据库的方法
  7. 【C++第一个Demo】---控制台RPG游戏3【登陆菜单树】
  8. [原创]传递UIScrollView的滑动事件到其子视图中
  9. Java并发编程-ReentrantLock可重入锁
  10. Java 获取昨天、当前、明天的时间
  11. 【翻译】Emmet(Zen Coding)官方文档 之七 一览表
  12. java 解析xml报文解析_开源分布式中间件 DBLE Server.xml 配置解析
  13. weixin-java-tools工具-微信开发常见问题
  14. 键盘模拟文件尾EOF
  15. java 解析xml工具类_轻松解析XML的工具类
  16. aide制作软件教程_AIDE开发教程合集
  17. R语言基于visreg 包COX回归和连续变量交互效应(交互作用)的可视化分析
  18. 字节跳动资深面试官亲述:15个经典面试问题及回答思路,知乎上转疯了!
  19. MySQL-Workbench数据库备份
  20. android开发中中按钮 变成红边白底,PS人像换红底为白底等的处理

热门文章

  1. 纯函数学习 (一)什么是纯函数
  2. ArcGISPro加载在线底图和影像
  3. 2022,是结束,亦是开始
  4. 禁止 mysql nobody_linux中nobody账号的相关解释
  5. 笔记本电脑添加打印机
  6. 【Golang】golang实现发送微信服务号模板消息
  7. 新手必看,13个信号可判断庄家进驻!
  8. WPF帝友借贷系统MV模式开发日志2021/04/02_前台登入注册页面搭建
  9. 英语语法总结--虚拟语气
  10. 闪送、水滴筹、美团、京东、百度java面试题总结