同步预置法

  • 根据模11的原理设计电路图,初值为0000,最后一个数字1010,则将QA和QC端连接到与非门到置位端(电子科大的数电慕课)
  • 只需要对CLK进行参数设置,这点在仿真上还算简单。我设置时钟参数时发现仿真结果QA和时钟边沿未能实现对齐,只好改用count实现功能 。仿真时间2us,间隔50ns,则总共可以观察20个波形,修改END TIME为2us
  • 初值为0101,当为1111时输出进位信号RCO=1,取反后输入进置位端,将输入ABCD的初值加载进来
  • 模24计数器,从预置初值0000 0001到检测终值0001 1000,Z是进位输出

反馈清零法

  • 利用CLR端,163是同步清零,可以从波形图中看出
  • 161与163管脚相同,异步清零

多次预置法

  • 电路简单,设计更复杂

二进制状态器的译码输出

  • 尖峰问题,翻转时延不同,短暂变化出现在有效时钟边缘,译码的毛刺

  • 消除毛刺增加一个寄存器,稳定了在有效边缘才能形成输出,在毛刺边缘增加寄存器或触发器

quartus仿真系列1:74163的计数功能相关推荐

  1. quartus仿真系列0:基于原理图仿真的基本操作

    翻一本数电书时,无意发现利用maxplus2原理图来仿真设计,唤起了在上数电实验课时的一个设想,利用quartus仿真时序电路的波形图,这样更加直观清晰(不用我手画了).我们数电课上只教了利用VHDL ...

  2. quartus仿真系列2:74193功能

    四位二进制同步可逆计数器,实现清楚,预置,累加计数和累减计数的功能 模16计数器,构成脉冲分配器和序列发生器等逻辑器件 构成模小于16的计数器 利用计数器清除.预置等功能 构成模大于16的计数器 利用 ...

  3. quartus仿真10:74283的基本功能

    串行进位二进制并行加法器(缺点:慢) 超前进位二进制并行加法器,根据输入信号同时形成各位向高位的进位,同时产生各位的和 全加器的进位输出 Cout = (A xor B)Cin+AB A xor B ...

  4. quartus仿真系列3:74283的4位并行加法器

    器件74283和共阳极七段译码器7447 输入管脚命名为A[3..0]这样管脚连线自动为粗的总线 仿真参数设置,设置为Hex十六进制,仿真时间区域1us时间轴周期50ns.选择节点时可以只选A 设置B ...

  5. quartus仿真5:74194构建模8扭环形计数器

    利用quartus仿真时还有一个好处,思考的同时可以避免睡觉.上数电课时老师拿着激光笔在PPT上的波形图指来指去,一下就睡着了.下午三节数电课,通常可以说睡两节半课. 环型计数器只有四个有效状态,其他 ...

  6. Quartus II 订购版 和 网络版 软件功能 区别

    参见: http://www.altera.com.cn/products/software/products/quartus2web/features/sof-quarweb_features.ht ...

  7. (1)Proteus 8.9上的STM32仿真系列(HAL)---流水灯

    ** (1)Proteus 8.9上的STM32仿真系列-流水灯 此系列面向新手,不使用自定义的库文件,只过一遍STM32的大部分功能. ** 环境:Proteus8.9+STM32CubeIDE 1 ...

  8. ## ***电池SOC仿真系列-基于扩展卡尔曼(EKF)算法的SOC估计(内含代码等资料)***

    ## ***电池SOC仿真系列-基于扩展卡尔曼(EKF)算法的SOC估计(内含代码等资料)*** ## 1 研究背景 电池的荷电状态(SOC)代表的是电池当前的剩余容量,数值定义是电池剩余电量与电池额 ...

  9. Plant simulation16.0 汽车总装车间仿真系列第一步:整体流程设定

    Plant simulation16.0 汽车总装车间仿真系列第一步:整体流程设定 目录 简介 Ⅰ.汽车总装工厂概叙 Ⅱ.各部份说明 Part01.滑橇线物流输送 Part02.内饰线+底盘线+最终装 ...

最新文章

  1. Go interface 类型转换原理剖析
  2. 【Python】利用graphviz和pycallgraph库自动生成Python函数调用关系图
  3. halcon Matching-3D 3D匹配,持续更新
  4. 扎实的基础是成功的法宝
  5. 两次秒售罄的小米10,还能火爆多久?
  6. idea jar放进本地仓库 为何依赖不了_推荐 33 个 IDEA 最牛配置,写代码太爽了
  7. 数据结构之基于Java的链接队列实现
  8. 【Linux】【Commands】文本查看类
  9. Loj 6281. 数列分块入门 5
  10. Oracle 存储过程、存储函数 与原生 JDBC 调用
  11. Ubuntu下Android NDK环境搭建笔记
  12. opencv 指定分辨率_使用opencv拉伸图像扩大分辨率示例
  13. 2020 恒生电子 面经
  14. arcgis python 百度网盘 视频_arcgis软件零基础入门视频教程27讲百度网盘链接
  15. 【Unity实战100例】Unity数据导出Excel表格
  16. 【微处理器】基于FPGA的微处理器VHDL开发
  17. 西安交通大学城市学院计算机二级,西安交通大学城市学院计算机系举行考研经验分享交流会...
  18. 被字句15个_二年级语文上册把字句被字句练习
  19. Python大型网络爬虫项目开发实战
  20. [JZOJ4236] 登山

热门文章

  1. linux shell eval,【shell】bash shell 中 set 和 eval 命令的使用
  2. scrolling=no 无法根据坐标获取元素_提高三坐标测量精度,要记住这几招
  3. element-UI:el-table 表格排序
  4. element-ui下拉框数据双向绑定
  5. 【最短路问题】leetcode743. 网络延迟时间
  6. tt c mysql t4 bll_通过T4模板实现代码自动生成
  7. org.apache.ibatis.builder.BuilderException: An invalid property ‘jdbcType ‘ was found in mapping
  8. 计算机数据网络说课稿,2017年教师考试备考:中学计算机说课稿《工作表中的数据管理》...
  9. 【PAT】2020年秋季考试划水准备贴
  10. 【PAT乙】1003 我要通过! (20分) 字符串条件判定