Vivado IP核Global 和 out of context per IP两种综合方式区别

  • 两者区别
  • OOC生成的文件
  • 注意、注意、注意
    • 1、更新IP核参数时需注意
    • 2、IP核中包括高阻态时需注意

两者区别

在用vivado 生成IP核时,有两种综合方式:Global和out of context per IP。Global模式可以理解为全局综合,当整个工程中的某个文件修改综合时,之前生成的IP核将被重新综合,而out of context per IP模式被称为OOC模式,在生成综合IP核时,已经将IP核综合为网表文件和IP调用文件,在后续整个工程实现时,不再对IP核的源文件进行综合实现,而是直接将网表与其他模块一起实现。个人理解有些像软件工程编译时的增量编译,因此IP采用OOC模式可以大大解决整个工程的综合时间,毕竟FPGA目前的综合时间还是太漫长了。

两者综合流图区别如下:

OOC生成的文件

OOC模式下将生成的文件包括:
1、.dcp (design checkpoint)文件
2、.vhdl或.v的网表文件
3、以”stub”结尾的类似于软件里的头文件,作用是跟工程中的其他文件一起综合

注意、注意、注意

1、更新IP核参数时需注意

采用OOC模式生成IP核后,如果还需要对IP的参数或初始值进行修改,则需要先对IP核进行复位,然后重新选择OOC模式生成IP核。复位的具体操作如下,右键点开需要操作的IP核然后点击reset output product。

2、IP核中包括高阻态时需注意

如果封装的IP核中存在三态(高阻态)赋值,OOC模式综合操作将会受到影响,例如:
assign my_signal = enable?din1:1’bz。
FPGA仅支持I/O输出端口的高阻态,在器件内部是不允许的,如果使用OOC模式,综合工具并不知道某个具体的信号连接I/O输出还是器件内部连接,最后,综合工具会将这个高阻信号转换为某个逻辑值,而不是高阻态。解决办法是在IP核的RTL中实例化一个三态缓存,例如:
OBUF u1(.l(din1), .T(n_enable), .O(my_signal))。

Vivado IP核Global 和 out of context per IP两种综合方式区别相关推荐

  1. Vivado IP的两种综合方式:Global 和 Out-Of-Context

    在最新的Vivado的版本中,定制IP的时候,会有一个综合方式的选择,如下图所示.可以看到一种叫做"Global",一种叫"Out-Of-Context (OOC)&qu ...

  2. Vivado使用心得(一)Vivado IP的两种综合方式:Global 和 Out-Of-Context

    ​在最新的Vivado的版本中,定制IP的时候,会有一个综合方式的选择,如下图所示.可以看到一种叫做"Global",一种叫"Out-Of-Context (OOC)&q ...

  3. Vite内网ip访问,两种配置方式

    问题 使用vite运行项目的时候,控制台会只出现127.0.0.1(localhost)本地地址访问项目.不可以通过公司内网ip访问,其他团队成员无法访问,这是因为没有将服务暴露在局域网中: 两种解决 ...

  4. Modelsim 仿真 DDR2 IP核 测试 和自定义仿真平台搭建 IP核仿真

    说明:本文分为两部分,第一部分仿真QuartusII自己生成的DDR2 example, 第二部分为添加自己写的tesetbench文件仿真DDR2 关键词:DDR2仿真.Modelsim 软件:Qu ...

  5. Linux下IP地址两种修改方式的总结(IP地址、子网掩码、网关、DNS简介)

    目录 一.IP地址.子网掩码.网关.DNS简介 1.IP地址 2.子网掩码 3.网关 4.DNS 二.Linux下IP地址修改两种方式介绍(Centos7.6) 1.查看IP地址 2.修改配置文件修改 ...

  6. linux ip限制的两种设置方式

    经常网络被攻击,最有效的方式就是在linux操作系统上直接限制ip访问,主要有两种方式设置 1.使用hosts.allow和hosts.deny来设置ip白名单和黑名单,/etc/目录下     优先 ...

  7. vivado创建项目时找不到boards(ZCU102)的两种解决方案

    问题:vivado创建项目时找不到boards(ZCU102) 如下图所示,vivado检测不到板卡(这里我用的是xilinx的Zynq_UltraScale+_MPSoC_ZCU102_Evalua ...

  8. [从零开始学习FPGA编程-51]:高阶篇 - 基于IP核的FPGA开发- 什么是FPGA IP核(软核、固核、硬核)与学习方法

    作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客 本文网址: 目录 前言: 第1章 IP是什么? 1.1 什么是知识产权

  9. Vivado IP核的综合模式:Global以及Out of context的区别理解

    提出问题 从接触FPGA生成第一个IP核开始,就遇到一个选择的问题,定制完成IP核后,最有一页会有一个选择综合模式的问题,有两个选择,一个是Global,另一个是Out of Context,是什么意 ...

最新文章

  1. gdb加载python_gdb加载python脚本的方法
  2. [题解](双向bfs)hdu_3085_Nightmare Ⅱ
  3. 32 六角oled驱动_Alienware下半年开售55英寸OLED显示器,4K120Hz、95%DCI-P3
  4. java中factory方法_Java的23中设计模式--工厂方法模式(Factory Method)
  5. 非接触式IC智能(射频)卡
  6. kubernetes_Kubernetes领域的标准安全性。
  7. jupyter 代码提示_Jupyter lab安装R支持时错误提示: exited with code 127解决办法
  8. ios touch坐标_iOS 3D Touch –窥视与流行
  9. 关于sts与eclipse版本对应的插件图
  10. 软件的发展历史(时间轴)
  11. 四年背的单词 笔记目录
  12. 用python语言实现人工智能猴子摘香蕉的问题_人工智能 猴子香蕉问题
  13. java源代码实现判断闰年和平年
  14. 平安云:2019年云综合收入13.2亿元,云上吃紧会更好
  15. C++中按名次排序的两个实现方式
  16. 【算法复习】迭代改进
  17. 绿布抠像怎么抠干净_AE如何抠取绿幕视频 干净抠像教程
  18. 火爆美国却突遇警告:“变脸应用FaceApp是俄国人搞的,大家别用了”
  19. 大学计算机实验六文件管理与磁盘恢复,虚拟实验:文件管理与磁盘恢复.pdf
  20. 概率分布分位点_常用概率分布总结(1)

热门文章

  1. 镜像仓库Registry——docker
  2. 2022年湖南工学院第九届大学生计算机程序设计竞赛题解
  3. poj2785 二分查找
  4. 基于MATLAB的B样条插值拟合算法与分段多项式(附完整代码)
  5. WINDOWS系统电脑禁用服务端口
  6. 规模化L2:自动驾驶下半场的助跑和突围
  7. python自动化导出数据库表结构到word
  8. MATLAB 数学应用 微分方程 时滞微分方程 具有常时滞的DDE
  9. 只有战术没有战略,往往成不了大气候。
  10. jQuery/Js复制文本到剪贴板