转自http://www.seas.upenn.edu/~ese171/vhdl/vhdl_primer.html#_Toc526061350

library  ieee;

use  ieee.std_logic_1164.all;

-- definition of a full adder

entity FULLADDER is

port (a, b, c: in std_logic;

sum, carry: out std_logic);

end FULLADDER;

architecture fulladder_behav of FULLADDER is

begin

sum <= (a xor b) xor c ;

carry <= (a and b) or (c and (a xor b));

end fulladder_behav;

-- 4-bit adder

library  ieee;

use  ieee.std_logic_1164.all;

entity FOURBITADD is

port (a, b: in std_logic_vector(3 downto 0);

           Cin : in std_logic;

sum: out std_logic_vector (3 downto 0);

Cout, V: out std_logic);

end FOURBITADD;

architecture fouradder_structure of FOURBITADD is

signal c: std_logic_vector (4 downto 0);

component FULLADDER

port(a, b, c: in std_logic;

sum, carry: out std_logic);

end component;

begin

FA0: FULLADDER

port map (a(0), b(0), Cin, sum(0), c(1));

FA1: FULLADDER

port map (a(1), b(1), C(1), sum(1), c(2));

FA2: FULLADDER

port map (a(2), b(2), C(2), sum(2), c(3));

FA3: FULLADDER

port map (a(3), b(3), C(3), sum(3), c(4));

V <= c(3) xor c(4);

Cout <= c(4);

end fouradder_structure;

4位全加器VHDL描述相关推荐

  1. 一位全加器 VHDL设计与实现

    作者:chenjieb520 一.设计目的 熟悉Quartus II的VHDL文本设计流程全过程,学习组合电路的设计,仿真和测试. 二.设计内容 设计一位全加器,给出程序的设计.软件编译.仿真分析.硬 ...

  2. 用行为级描述方式实现一个加法器电路(基于ISE的设计)(2输入1位全加器电路)

    准备 先用行为级描述方式实现一个2输入一位全加器电路 由于后面需要使用综合工具进行综合,这里先声明使用的FPGA是Virtex-7系列的: 目的很单纯,就是熟悉一下使用ISE进行FPGA设计的一般流程 ...

  3. 原理图以及vhdl设计一位全加器

    原理图设计以及VHDL设计 一位加法器 全加器原理 全加器真值 输出表达式 原理图设计法 VHDL设计法 代码如下: 全加器是用门电路实现两个二进制数相加并求出和的组合线路,称为一位全加器.一位全加器 ...

  4. FPGA(2)--例化语句--1位全加器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 一.实验目的 熟悉元件封装方法,掌握层次化电路设计方法:掌握VHDL例化语句的设计方法. 二.实验内容 1.用VHDL语言设计1 ...

  5. 试用一片3-8译码器74LS138和其它必要的门电路设计一个一位全加器, 被加数为Ai, 加数为Bi, 低位来的进位为Ci-1, 本位和为Si, 本位对高位的进位为Ci

    (1)根据题目的描述, 列出真值表. 一位全加器真值表 Ai Bi Ci-1 Si Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 ...

  6. digital_logic@一位全加器的真值表@画卡诺图@输出逻辑函数表达式

    文章目录 digital_logic@一位全加器的真值表@画卡诺图@输出逻辑函数表达式 真值表 卡诺图 用卡诺图表示逻辑函数的方法 最小项 全加器的逻辑表达式 直接观察法(与或式)快速绘制卡诺图 di ...

  7. verilog 8位全加器

    8位全加器 实验原理 先构建一位全加器,在将8个全加器串起来,低位的进位传送到下一个全加器里. 一位全加器的构建 列出真值表 A,B:加数,Ci:来自低位的进位 Sum:和 ,Co:进位 verilo ...

  8. 在Verilog语言中,使用门级建模设计一个由1位全加器组成的4位全加器

    4位全加器的门级建模 Verilog语言的层级 在Verilog硬件描述语言中,我们可以分许多层次对电路进行描述,每一层都有自己的特点.层次分为开关级.门级.数据流级.行为级.我们不能说必须使用哪一种 ...

  9. 无符号定点数加法运算的VHDL描述

    无符号定点数加法运算的VHDL描述 使用VHDL描述定点运算时添加运算支持的详细步骤 定位到Xilinx的安装路径下,然后找到 D:\Xilinx\Vivado\2021.1\scripts\rt\d ...

最新文章

  1. dearpygui最新版教程
  2. AttributeError: 'NoneType' object has no attribute 'grid'报错解决方案
  3. 基于matlab的语音信号,科学网—[转载]【信息技术】【2014.06】【含源码】基于MATLAB的语音信号处理与分析 - 刘春静的博文...
  4. 报错信息:NoReverseMatch at / 'blog' is not a registered namespace
  5. 听说Java老古董了?快被淘汰了?高级开发:我还就真看上它了!
  6. 步长条件梯度下降算法步长和收敛条件的设置的一些看法
  7. 创建数据库company写出语句_MySQL中最实用的SQL语句
  8. java生成excel图表
  9. 火了!GitHub标星三万,Python抢票神器
  10. php图片资源管理软件,通用图形资源管理与查询系统FastMap - 飞时达软件
  11. ui自动化模拟键盘按键
  12. 软件概要设计如何写(文档恐惧症的程序猿必读)
  13. Win10系统U盘启动盘制作详解
  14. html如何显示ppt首页,aspx怎样显示ppt转换的html页面
  15. idea 控制台搜索快捷键
  16. 计算机组成原理秒表设计实验,计算机组成原理实验2.4计数器赖晓铮剖析.ppt
  17. IOS实现3DTouch功能
  18. bzoj-1227 虔诚的墓主人
  19. Delphi隐藏/显示Windows桌面上的图标
  20. ORA-02396:超出最大空闲时间,请重新连接!

热门文章

  1. 一阶电路中的时间常数_怎样讲好一阶电路时间常数的概念
  2. ik php分词,ik中英文混合分词器
  3. deepin安装java_Deepin 安装jdk1.8
  4. 星志远:亚马逊开店怎么选择区域?
  5. Android多功能智能相机(AI Camera)
  6. 从零开始上传开源项目到Maven中央仓库
  7. 微信朋友圈的营销技巧有哪些
  8. QT5.96+VS2017实现实验室器材管理系统源代码及其发包发布
  9. 影响力--经典语句摘录
  10. 【CUDA】CUDA9.0 win10配置