问题思考本文引用地址:http://www.eepw.com.cn/article/269207.htm

单一的全局约束可以覆盖多延时路径

如果箭头是待约束路径,那么什么是路径终点呢?

所有的寄存器是否有一些共同点呢?

问题解答

什么是路径终点呢?

——FLOP1,FLOP2,FLOP3,FLOP4,FLOP5。

所有的寄存器是否有一些共同点呢?

——它们共享一个时钟信号,约束这个网络的时序可以同时覆盖约束这些相关寄存器间的延时路径。

周期约束

周期约束覆盖由参考网络钟控的的同步单元之间的路径延时。

周期约束不覆盖的路径有:input pads到output pads之间的路径(纯组合逻辑路径),input pads到同步单元之间的路径,同步单元到output pads之间的路径。

周期约束特性

周期约束使用最准确的时序信息,使其能够自动的计算:

1. 源寄存器和目的寄存器之间的时钟偏斜(Clock Skew)

2. 负沿钟控的同步单元

3. 不等同占空比的时钟

4. 时钟的输入抖动(jitter)

假设:

1. CLK信号占空比为50%

2. 周期约束为10ns

3. 由于FF2将在CLK的下降沿触发,两个触发器之间的路径实际上将被约束为10ns的50%即5ns

时钟输入抖动(Clock Input Jitter)

时钟输入抖动是源时钟的不确定性(clock uncertainty)之一

时钟的不确定时间必须从以下路径扣除:

——周期约束建立时间路径

——OFFSET IN约束的建立时间路径

时钟的不确定时间必须添加到以下路径中:

——周期约束保持时间路径

——OFFSET IN约束保持时间路径

——OFFSET OUT约束路径

Pad-to-Pad约束

——不包含任何同步单元的纯组合逻辑电路

——纯组合逻辑延时路径开始并结束于I/O pads,所以通常会被我们遗漏而未约束

ise 时钟约束_ISE时序约束笔记2——Global Timing Constraints相关推荐

  1. ise 时钟约束_xilinx时序约束

    在进行FPGA的设计时,经常会需要在综合.实现的阶段添加约束,以便能够控制综合.实现过程,使设计满足我们需要的运行速度.引脚位置等要求.通常的做法是设计编写约束文件并导入到综合实现工具,在进行FPGA ...

  2. FPGA之道(80)静态时序分析(六)常用时序约束介绍(基于ISE的UCF文件语法)

    文章目录 前言 常用时序约束介绍 时序环境约束 分组时序约束 TNM TNM_NET TIMEGRP 常用时序约束 周期约束 输入时钟周期约束 内部时钟周期约束 关联时钟周期约束 差分时钟周期约束 输 ...

  3. TIMING_02 浅谈时序约束与时序分析

    由于该系列文章阅读有顺序性,所以请跳转至该系列文章第一篇从头开始阅读,并按照文章末尾指示按顺序阅读,否则会云里雾里,传送门在此:  https://blog.csdn.net/qq_33486907/ ...

  4. FPGA时序约束篇之时序分析与时序约束的作用

    FPGA时序约束篇之时序分析与时序约束的作用 一.写在前面 二.名词解释 三.举个栗子 3.1 降低时钟频率 3.2 提升时钟频率 END 一.写在前面   在每个初学者学习FPGA设计时,都会听前辈 ...

  5. 时序约束优先级_XILINX-时序约束使用指南中文.pdf

    XILINX-时序约束使用指南中文 XILINX 时序约束使用指南笔记 第一章 时序约束介绍 第二章 时序约束方法 第三章 时序约束原则 第四章 在 XST 中指定时序约束 第五章 在 Synplif ...

  6. FPGA时序约束和timequest timing analyzer

    FPGA时序约束和timequest timing analyzer FPGA时序约束 时钟约束 #************************************************** ...

  7. (95)Vivado时序约束TCL命令-all

    (95)Vivado时序约束TCL命令-all 1 文章目录 1)文章目录 2)时序约束引言 3)FPGA时序约束课程介绍 4)Vivado时序约束TCL命令-all 5)技术交流 6)参考资料 2 ...

  8. (94)Vivado时序约束TCL命令-get_nets

    (94)Vivado时序约束TCL命令-get_nets 1 文章目录 1)文章目录 2)时序约束引言 3)FPGA时序约束课程介绍 4)Vivado时序约束TCL命令-get_nets 5)技术交流 ...

  9. (89)多周期时序约束(四)

    (89)多周期时序约束(四) 1 文章目录 1)文章目录 2)时序约束引言 3)FPGA时序约束课程介绍 4)多周期时序约束(四) 5)技术交流 6)参考资料 2 时序约束引言 1)什么是静态时序分析 ...

最新文章

  1. SBB-2016-石油污染土壤微生物群落构建与生物多样性研究
  2. ASP调用存储过程详解。
  3. c/c++格式化字符串的标识
  4. java 工作6年 面试_为什么不想搞Java了,6年经验去面试5分钟结束,现在Java面试为何这么难...
  5. android gradle错误,Android studio gradle错误与顶级异常
  6. linux 检测蓝牙 rssi,蓝牙LE信号强度Linux
  7. 2008安装完了找不到_防臭地漏哪种好?防臭地漏怎么安装?一篇文章全了解
  8. javascript实现跳转菜单
  9. Xmodem/Ymodem/Zmodem协议详解
  10. 成都盛铭轩:详情页怎么设计
  11. Xilinx FPGA平台DDR3设计保姆式教程(2)DDR3各时钟频率及带宽分析
  12. ZOJ3987(二进制枚举+java大数)
  13. 用聊天记录当证据 对方改了微信号怎么证明他是他
  14. 二、Spring Boot 自定义Banner 横幅
  15. springboo集成bboss-elasticsearch实现elasticsearch客户端
  16. Android开发之连接实体手机进行开发的步骤 遇到的“an app is obsuring...“的问题及解决
  17. Echarts清空图表:There is a chart instance already initialized on the dom.
  18. java程序连接redis服务器
  19. 怎么打开国外分享的BOX链接
  20. 分析|什么是物联网卡

热门文章

  1. 【设计模式:单例模式】单例模式01:饿汉模式
  2. idea创建web项目的几种方式(没内容,自用)
  3. c++篇 vc++2010设置和c#一样的代码段,vs2010 两下tab设置
  4. 【吴恩达机器学习】学习笔记——1.5无监督学习
  5. Cookie学习总结
  6. ActiveMQ 即时通讯服务 入門指南及淺析
  7. Android XML中引用自定义内部类view的四个why
  8. Codeforces 384E-线段树+dfs序
  9. jQuery使用ajax错误的重复发送请求的解决办法
  10. poj 1056 IMMEDIATE DECODABILITY