XILINX-时序约束使用指南中文

XILINX 时序约束使用指南笔记

第一章 时序约束介绍

第二章 时序约束方法

第三章 时序约束原则

第四章 在 XST 中指定时序约束

第五章 在 Synplify 中指定时序约束方法

第六章 时序约束分析

1 / 16

2 / 16

第一章 时序约束介绍

基本的时序约束包括:

“PERIOD Constraints”

“OFFSET Constraints”

“FROM:TO(Multi‐Cycle)约束”

3 / 16

第二章 时序约束方法

1,简介:

2,基本的约束方法

根据覆盖的路径不同,时序要求变成一些不同的全局约束。

最普通的路径类型包括:

1,输入路径

2,同步元件到同步元件路径

3,指定路径

4 ,输出路径

XILINX 的时序约束与每一种全局约束类型都有关。最有效的方法就是一开始就指定全局

约束然后再加上指定路径的约束。在很多案例中,只要全局约束就可满足需求。

FPGA 器件执行工具都是由指定的时序要求驱动的。如果时序约束过头的话,就会导致

内存使用增加,工具运行时间增加。更重要的是,过约束还会导致性能下降。因此,推荐使

用实际设计要求的约束值。

3,输入时序约束

输入时序约束包括 2 种

“系统同步输入”

“源同步输入”

输入时钟约束覆盖了输入数据的 FPGA 外部引脚到获取此数据的寄存器之间的路径。输

入时钟约束经常用”OFFSET IN”约束。指定输入时钟要求的最好方法,取决于接口的类型(源

/系统同步)和接口是 SDR 还是 DDR。

OFFSET IN 定义了数据和在 FPGA 引脚抓取此数据的时钟沿之间的关系。在分析 OFFSET IN

约束时,时序分析工具自动将影响时钟和数据延迟的因素考虑进去。这些因素包括:

时钟的频率和相位转换

时钟的不确定

数据延迟调整

除了自动调整,还可以在与接口时钟相关的”PERIOD”约束中另外增加时钟不确定。

关于增加”INPUT_JITTER” 的更多信息,参见第三章的”PERIOD Constraints” 。

“OFFSET IN”与单输入时钟有关,默认情况下,OFFSE

时序约束优先级_XILINX-时序约束使用指南中文.pdf相关推荐

  1. python界面编程pdf_Python Qt GUI快速编程——PyQt编程指南 中文pdf完整版[99MB]

    内容介绍热点排行相关文章下载地址↓ Python Qt GUI快速编程--PyQt编程指南主要讲述如何利用Python和Qt开发GUI应用程序的原理.方法和关键技术.本书共分四个部分:第一部分主要讲述 ...

  2. 2006国家温室气体清单指南 中文PDF

    气候变化问题日趋严重,全球对温室气体排放问题日益关注.为控制温室气体排放,1992 年通 过了世界上第一个国际公约--<联合国气候变化框架公约>(UNFCCC,以下简称<公 约> ...

  3. Scikit-Learn与 TensorFlow 机器学习实用指南 中文PDF 免费领取

    image 豆瓣评分:9.6一句话介绍:机器学习书中理论结合实战最好的书.内容简介通过具体的例子.很少的理论以及两款成熟的Python框架: Scikit-Learn和TensorFlow作者帮助你掌 ...

  4. 时序约束优先级_VIVADO之时序约束

    1 时钟约束 1.1 主时钟(primary clock) 主时钟应首先被定义,因为其他时序约束往往以主时钟为参照标准.主时钟的定义往往应定义在输入端口,而不是clock buffer的输出端口.如下 ...

  5. 时序约束优先级_几种进行时序约束的方法

    对自己的设计的实现方式越了解,对自己的设计的时序要求越了解,对目标器件的资源分布和结构越了解,对EDA工具执行约束的效果越了解,那么对设计的时序约束目标就会越清晰,相应地,设计的时序收敛过程就会更可控 ...

  6. 6 FPGA时序约束理论篇之xdc约束优先级

    xdc约束优先级   在xdc文件中,按约束的先后顺序依次被执行,因此,针对同一个时钟的不同约束,只有最后一条约束生效.   虽然执行顺序是从前到后,但优先级却不同:就像四则运算一样,±x÷都是按照从 ...

  7. ise 时钟约束_xilinx时序约束

    在进行FPGA的设计时,经常会需要在综合.实现的阶段添加约束,以便能够控制综合.实现过程,使设计满足我们需要的运行速度.引脚位置等要求.通常的做法是设计编写约束文件并导入到综合实现工具,在进行FPGA ...

  8. 3 FPGA时序约束理论篇之IO约束

    I/O约束   I/O约束是必须要用的约束,又包括管脚约束和延迟约束. 管脚约束   管脚约束就是指管脚分配,我们要指定管脚的PACKAGE_PIN和IOSTANDARD两个属性的值,前者指定了管脚的 ...

  9. 4 FPGA时序约束理论篇之时钟周期约束

    时钟周期约束   时钟周期约束,顾名思义,就是我们对时钟的周期进行约束,这个约束是我们用的最多的约束了,也是最重要的约束.   下面我们讲一些Vivado中时钟约束指令. 1. Create_cloc ...

最新文章

  1. vue.js created函数注意事项
  2. cv2.cvtColor(img,p)图片格式转换的用法
  3. 重磅发布!Google语义分割新数据集来啦!又一个分割SOTA模型
  4. windows server系统,登录系统提示按下 ctrl+alt+delete
  5. 5.3.1 TCP协议特点和TCP报文段格式
  6. Java 求一批数的绝对值
  7. 深度学习课程Deep Learning Courses
  8. Android SearchView 搜索框
  9. mysql集合与集合的子集_大集合List分为多个子集合
  10. 实时计算在天猫双十一大屏中的应用
  11. Vue笔记:使用 axios 中 this 指向问题
  12. ZEMAX | 照明设计的性能指标
  13. 跟着实例学eclipse插件开发--第一篇:翻译插件
  14. 使用APKTOOL 反编译APK 失败的解决方法
  15. 10个200万的网络监控摄像机应该配置百兆还是千兆的交换机?
  16. 区块链如何改变出版商在学术传播中的角色
  17. MySQL自定义中文转拼音函数
  18. 小龟视频APP-插件打包-v1.6.x反编译教程及未加固apk包ios最新版文件分享
  19. LeetCode 908 题解
  20. TDSQL水平扩容实践案例

热门文章

  1. 揭万达快钱的互联网金融布局逻辑
  2. windows服务器 应通过设定终端接入方式或网络地址范围对通过网络进行管理的管理终端进行限制。
  3. oracle 新增列到指定位置,oracle添加列到指定位置
  4. PyTorch无法指定GPU的问题解决
  5. 局部线性嵌入(Locally Linear Embedding, LLE)
  6. 01-React的安装-jsx语法
  7. [转]2013年海康威视校园招聘笔试题
  8. uniapp(HBuilder X)实现微信小程序转发好友和分享朋友圈(携带多个参数)
  9. 《七年就是一辈子》 逻辑思维 互联网 得到
  10. hexo(matery)valine评论适配(最新版表情包接口,修改样式)