中北大学《计算机组成原理》选择题和填空题试题大集合(含答案).pdf

专业课复习资料(最新版)专业课复习资料(最新版) 封封 面面 考试点 1 中北大学计算机组成原理选择题和填空题试题大集合(含答案)中北大学计算机组成原理选择题和填空题试题大集合(含答案) 一. 选择题 (每小题 1 分,共 20 分) 1. 目前我们所说的个人台式商用机属于_D_。 A.巨型机 B.中型机 C.小型机 D.微型机 2. (2000)10 化成十六进制数是B。 A(7CD)16 B.(7D0)16 C.(7E0)16 D.(7F0)16 3. 下列数中最大的数是_A_。 A(10011001)2 B.(227)8 C.(98)16 D.(152)10 4. D表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是_D_。 A. BCD 码 B. 16 进制 C. 格雷码 D. ASC码 6. 下列有关运算器的描述中,_D_是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 7. EPROM 是指D。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 8. Intel80486 是 32 位微处理器,Pentium 是D位微处理器。 . 设X补1.x1x2x3x4,当满足_A_时,X -1/2 成立。 x1 必须为 1,x2x3x4 至少有一个为 1 x1 必须为 1,x2x3x4 任意 x1 必须为 0,x2x3x4 至少有一个为 1 x1 必须为 0,x2x3x4 任意 10. CPU 主要包括B。 A.控制器 B.控制器、 运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为A。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 12. 以下四种类型指令中,执行时间最长的是C。 A. RR 型 B. RS 型 C. SS 型 D.程序控制指令 13. 下列_D_属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D.文本处理 14. 在主存和 CPU 之间增加 cache 存储器的目的是C。 A. 增加内存容量 B. 提高内存可靠性 C. 解决 CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用B作为存储芯片。 A. SRAM B. 闪速存储器 C. cache D.辅助存储器 16. 设变址寄存器为 X,形式地址为 D,(X)表示寄存器 X 的内容,这种寻址方式的有效地址 为A。 A. EAXD B. EAXD C.EAXD D. EAXD 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为_C_。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是_D_。 考试点 2 A. PPU外围处理机方式 B. 中断方式 C. DMA 方式 D. 通道方式 19. 系统总线中地址线的功能是_C_。 A. 用于选择主存单元地址 B. 用于选择进行信息传输的设备 C. 用于选择外存地址 D. 用于指定主存和 I/O 设备接口电路的地址 20. 采用 DMA 方式传送数据时,每传送一个数据要占用B的时间。 A. 一个指令周期 B. 一个机器周期 C. 一个时钟周期 D. 一个存储周期 二. 填空题 (每空 1 分 ,共 20 分) 1. 数控机床是计算机在 A.方面的应用,邮局把信件自动分拣是在计算机 B.方面 的应用。 2. 汉字的 A.、B.、C.是计算机用于汉字输入、内部处理、输出三种不同 用途的编码。 3. 闪速存储器特别适合于 A.微型计算机系统,被誉为 B.而成为代替磁盘的一种 理想工具。 4. 主存储器的性能指标主要是 A.、B.、存储周期和存储器带宽。 5. 条件转移、无条件转移、转子程序、返主程序、中断返回指令都属于 A.类指令,这类 指令在指令格式中所表示的地址不是 B.的地址,而是 C.的地址。 6. 从操作数的物理位置来说, 可将指令归结为三种类型 存储器-存储器型, A., B.。 7. 运算器的两个主要功能是A.,B.。 8. PCI 总线采用 A.仲裁方式,每一个 PCI 设备都有独立的总线请求和总线授权两条信号 线与 B.相连。 9. 直接内存访问(DMA)方式中,DMA 控制器从 CPU 完全接管对 A.的控制,数据交换 不经过 CPU,而直接在内存和 B.之间进行。 答案 1. A.自动控制 B.人工智能 2. A.输入编码(或输入码) B.内码(或机内码) C.字模码 3. A.便携式 B.固态盘 4. A.存储容量 B.存取时间 5. A.程序控制类 B.操作数 C.下一条指令 6. A.寄存器寄存器型 B.寄存器存储器型 7. A.算术运算 B.逻辑运算 8. A.集中式 B.中央仲裁器 9. A.总线 B.I/O 设备(或输入输出设备) 试卷二 一 选择题(每空 1 分,共 20 分) 1 将有关数据加以分类、统计、分析,以取得有利用价值的信息,我们称其为_C_。 A. 数值计算 B. 辅助设计 C. 数据处理 D. 实时控制 2 目前的计算机,从原理上讲C。 A. 指令以二进制形式存放,数据以十进制形式存放 B. 指令以十进制形式存放,数据以二进制形式存放 C. 指令和数据都以二进制形式存放 D. 指令和数据都以十进制形式存放 3. 根据国标规定,每个汉字在计算机内占用_B_存储。 A.一个字节 B.二个字节 C.三个字节 D.四个字节 4. 下列数中最小的数为_A_。 考试点 3 A.(101001)2 B.(52)8 C.(2B)16 D.(44)10 5. 存储器是计算机系统的记忆设备,主要用于_D_。 A.存放程序 B.存放软件 C.存放微程序 D.存放程序和数据 6. 设 X 0.1011,则X补为_C_。 A.1.1011 B.1.0100 C.1.0101 D.1.1001 7. 下列数中最大的数是B。 A.(10010101)2 B.(227)8 C.(96)16 D.(143)10 8. 计算机问世至今,新型机器不断推陈出新,不管怎样更新,依然保有“存储程序”的概念, 最早提出这种概念的是B。 A.巴贝奇 B.冯. 诺依曼 C.帕斯卡 D.贝尔 9. 在 CPU 中,跟踪后继指令地指的寄存器是B。 A.指令寄存器 B.程序计数器 C.地址寄存器 D.状态条件寄存器 10. Pentium-3 是一种A。 A.64 位处理器 B.16 位处理器 C.准 16 位处理器 D.32 位处理器 11. 三种集中式总线控制中,A方式对电路故障最敏感。 A.链式查询 B.计数器定时查询 C.独立请求 12. 外存储器与内存储器相比,外存储器B。 A.速度快,容量大,成本高 B.速度慢,容量大,成本低 C.速度快,容量小,成本高 D.速度慢,容量大,成本高 13. 一个 256K8 的存储器,其地址线和数据线总和为C。 A.16 B.18 C.26 D.20 14. 堆栈寻址方式中,设 A 为累加器,SP 为堆栈指示器,MSP 为 SP 指示的栈顶单元。如果进 栈操作的动作顺序是(A)MSP,SP-1SP。那么出栈操作的动作顺序应为B。 A.MSPA,SP1SP B.SP1SP,MSPA C.SP-1SP,MSPA D.MSPA, (SP)-1SP 15. 当采用_A_对设备进行编址情况下,不需要专门的 I/O 指令组。 A.统一编址法 B.单独编址法 C.两者都是 D.两者都不是 16. 下面有关“中断”的叙述,A是不正确的。 A. 一旦有中断请求出现,CPU 立即停止当前指令的执行,转而去受理中断请求 B. CPU 响应中断时暂停运行当前程序,自动转移到中断服务程序 C. 中断方式一般适用于随机出现的服务 D. 为了保证中断服务程序执行完毕以后,能正确返回到被中断的断点继续执行程序,必须进行 现场保存操作 17.下面叙述中,B是正确的。 A.总线一定要和接口相连 B.接口一定要和总线相连 C.通道可以替代接口 D.总线始终由 CPU 控制和管理 18.在下述指令中,I 为间接寻址,C指令包含的 CPU 周期数最多。 A.CLA B.ADD 30 C.STA I 31 D.JMP 21 19.设寄存器位数为 8 位,机器数采用补码形式(含一位符号位)。对应于十进制数-27, 寄存器内为_C_。 A.27H B.9BH C.E5H D.5AH 20.某存储器芯片的存储容量为 8K12 位,则它的地址线为_C_。 A.11 B.12 C.13 D.14 考试点 4 二. 填空题(每空 1 分,共 20 分) 1. 计算机软件一般分为两大类一类叫 A.,另一类叫 B.。操作系统属 于 C. 类。 2. 一位十进制数,用 BCD 码表示需 A.位二进制码 ,用 ASCII 码表示需 B.位二 进制码。 3. 主存储器容量通常以 KB 表示,其中 KA.;硬盘容量通常以 GB 表示,其中 GB.。 4. RISC 的中文含义是 A.,CISC 的中文含义是 B.。 5. 主存储器的性能指标主要是存储容量、A.、B.和 C.。 6. 由于存储器芯片的容量有限,所以往往需要在 A.和 B.两方面进行扩充才能满 足实际需求。 7. 指令寻址的基本方式有两种,A.方式和 B.方式。 8. 存储器和 CPU 连接时,要完成 A.的连接;B.的连接和 C.的连接,方 能正常工作。 9. 操作控制器的功能是根据指令操作码和 A.,产生各种操作控制信号,从而完成 B.和执行指令的控制。 答案 1. A.系统软件 B.应用软件 C.系统软件 2. A.4 B.7 3. A.210 B.230 4.A.精简指令系统计算机 B.复杂指令系统计算机 5.A.存取时间 B.存储周期 C.存储器带宽 6.A.字向 B.位向 7.A.顺序寻址方式 B.跳跃寻址方式 8.A.地址线 B.数据线 C.控制线 9.A.时序信号 B.取指令 专科生期末试卷三 一. 选择题(每小题 1 分,共 20 分) 1. 完整的计算机系统应包括_D_。 A. 运算器、存储器、控制器 B. 外部设备和主机 C. 主机和实用程序 D. 配套的硬件设备和软件系统 2. 下列数中最小的数为C。 A. (101001)2 B. (52)8 C. (101001)BCD D. (233)16 3. 设 X0.1011,则补为_C_。 A. 1.1011 B. 1.0100 C. 1.0101 D. 1.1001 4. 机器数BC中,零的表示形式是唯一的。 A. 原码 B. 补码 C. 移码 D. 反码 5. 在计算机中,普遍采用的字符编码是_D_。 A. BCD 码 B. 16 进制 考试点 5 C. 格雷码 D. ASC码 6. 运算器的主要功能是进行_C_。 A. 逻辑运算 B. 算术运算 C. 逻辑运算和算术运算 D. 只作加法 7. 存储器是计算机系统中的记忆设备,它主要用来C。 A. 存放数据 B. 存放程序 C. 存放数据和程序 D. 存放微程序 8. 某计算机的字长 16 位,它的存储容量是 64KB,若按字编址,那么它的寻址范围是 _B_。 A. 64K B.32K C. 64KB D. 32KB 9. 用 32 位字长(其中 1 位符号位)表示定点小数时,所能表示的数值范围是B。 A. 0N|1-2-32 B. 0N|1-2-31 C. 0N|1-2-30 D. 0N|1-2-29 10. 用于对某个寄存器中操作数的寻址方式称为_C_寻址。 A. 直接 B. 间接 C. 寄存器直接 D. 寄存器间接 11. 程序控制类指令的功能是D。 A. 进行算术运算和逻辑运算 B. 进行主存和 CPU 之间的数据传送 C. 进行 CPU 和 I/O 设备之间的数据传送 D. 改变程序执行的顺序 12. 中央处理器(CPU)是指_C_。 A. 运算器 B. 控制器 C. 运算器、控制器和 cache D. 运算器、控制器和主存储器 13. 计算机使用总线结构的主要优点是便于实现积木化,同时C。 A. 减少了信息传输量 B. 提高了信息传输的速度 C. 减少了信息传输线的条数 14. 在集中式总线仲裁中,A方式对电路故障最敏感。 A. 链式查询 B. 计数器定时查询 C. 独立请求 15. 在微型机系统中,外围设备通过A与主板的系统总线相连接。 A. 适配器 B. 设备控制器 C. 计数器 D. 寄存器 16. 35 英寸软盘记录方式采用C。 A. 单面双密度 B. 双面双密度 C. 双面高密度 D. 双面单密度 17. 为了便于实现多级中断,保存现场信息最有效的方式是采用B。 A. 通用寄存器 B. 堆栈 C. 存储器 D. 外存 18. 周期挪用方式多用于_A_方式的输入输出中。 考试点 6 A. DMA B. 中断 C. 程序传送 D. 通道 19. MO 型光盘和 PC 型光盘都是_C_型光盘。 A. 只读 B. 一次 C. 重写 20. 并行 I/O 标准接口 SCSI 中,一个主适配器可以连接B台具有 SCSI 接口的设备。 A. 6 B. 715 C. 8 D. 10 二. 填空题(每小题 1 分,共 20 分) 1. 存储 A.并按 B.顺序执行,这是冯诺依曼型计算机的工作原理。 2. 计算机的 A.是计算机 B.结构的重要组成部分,也是计算机不同于一般电子设备 的本质所在。 3. 一个定点数由 A.和 B.两部分组成。 4. CPU 能直接访问 A.和 B.,但不能直接访问磁盘和光盘。 5. 指令格式是指令用 A.表示的结构形式,通常格式中由操作码字段和 B.字段组 成。 6. 主存储器的性能指标主要是存储容量、存取时间、A.和 B.。 7. RISC 机器一定是 A._CPU,但后者不一定是 RISC 机器,奔腾机属于 B.机器。 8. 计算机系统中,根据应用条件和硬件资源不同,数据传输方式可采用A.传送、 B.传送和 C.传送。 9. 软磁盘和硬磁盘的 A.记录方式基本相同,但在 B.和 C.上存在较大差别。 答案 1. A.程序 B.地址 2. A.软件 B.系统 3. A.符号位 B.数值域 4. A.cache B.主存 5. A.二进制代码 B.地址码 6. A.存储周期 B.存储器带宽 7. A.流水 B.CISC 8. A.并行 B.串行 C.复用 9. A.存储原理 B.结构 C.性能 专科生期末试卷四 一. 选择题(每小题 1 分,共 20 分) 1. 1946 年研制成功的第一台电子数字计算机称为B,1949 年研制成功的第一台程序内 存的计算机称为。 AEDVAC ,MARKI B.ENIAC , EDSAC C.ENIAC , MARKI D.ENIAC , UNIVACI 2. 至今为止,计算机中的所有信息仍以二进制方式表示的理由是_C_。 A节约元件 B.运算速度快 C.物理器件性能决定 D.信息处理方便 3. (2000)10 化成十六进制数是_B_。 A(7CD)16 B(7D0)16 C(7E0)16 D(7F0)16 4. 下列数中最大的数是_B_。 A(10010101)2 B(227)8 C。(96)16 D(143)5 5. 运算器虽有许多部件组成,但核心部分是B。 A数据总线 B算术逻辑运算单元 C多路开关 D累加寄存器 6. 根据标准规定,每个汉字在计算机内占用B存储。 考试点 7 A一个字节 B二个字节 C三个字节 D四个字节 7. 存储单元是指A。 A存放一个机器字的所有存储元 B存放一个二进制信息位的存储元 C存放一个字节的所有存储元的集合 D存放两个字节的所有存储元的集合 8. 机器字长 32 位,其存储容量为 4MB,若按字编址,它的寻址范围是_A_。 A 1M B 1MB C 4M D 4MB 9. 某一 SRAM 芯片,其容量为 5128 位,考虑电源端和接地端,该芯片引出线的最小数目应为 D。 A.23 B.25 C.50 D.19 10. 寄存器间接寻址方式中,操作数处在_D_。 A.通用寄存器 B.程序计数器 C.堆栈 D.主存单元 11. 描述汇编语言特性的概念中,有错误的句子是C。 A. 对程序员的训练要求来说,需要硬件知识 B. 汇编语言对机器的依赖性高 C. 用汇编语言编制程序的难度比高级语言小 D. 汇编语言编写的程序执行速度比高级语言快 12. 在 CPU 中跟踪指令后继地址的寄存器是_B_。 A.主存地址寄存器 B.程序计数器 C.指令寄存器 D.状态条件寄存器 13. 下面描述 RISC 机器基本概念中,正确的表述是B A.RISC 机器不一定是流水 CPU B.RISC 机器一定是流水 CPU C.RISC 机器有复杂的指令系统 D.其 CPU 配备很少的通用寄存器 14. 多总线结构的计算机系统,采用_A_方法,对提高系统的吞吐率最有效。 A.多端口存储器 B.提高主存速度 C.交叉编址多模块存储器 D.cache 15. 以下四种类型指令中,执行时间最长的是C。 A.RR 型指令 B.RS 型指令 C.SS 型指令 D.程序控制指令 16. 信息只用一条传输线,且采用脉冲传送的方式称为A。 A.串行传送 B.并行传送 C.并串型传送 D.分时传送 17. 描述 PCI 总线中基本概念不正确的是_D_。 A. PCI 总线是一个与处理器无关的高速外围总线 B. PCI 总线的基本传输机制是猝发式传输 C. PCI 设备不一定是主设备 D. 系统中只允许有一条 PCI 总线 18. 带有处理器的设备一般称为A设备。 A. 智能化 B. 交互式 C. 远程通信 D. 过程控制 19. 发生中断请求的可能条件是BCD。 A. 一条指令执行结束 B. 一次 I/O 操作开始 C. 机器内部发生故障 D. 一次 DMA 操作开始 20. 采用 DMA 方式传送数据时,每传送一个数据就要用一个_A_时间。 A. 指令周期 B. 机器周期 C. 存储周期 D. 总线周期 二.填空题(每空 1 分,共 20 分) 1. 目前的 CPU 包括 A.、B.和 cache. 2. 汉字的 A.、B.、C.是计算机用于汉字输入、内部处理、输出三种不同 用途的编码。 3. 存储器的技术指标有存储容量、存取时间、A.和 B.。 考试点 8 4. 双端口存储器和多模块交叉存储器属于 A.存储器结构。前者采用 B.技术,后 者采用 C.技术。 5. 堆栈是一种特殊的数据寻址方式,它采用 A.原理。按结构不同,分为 B.堆栈 和 C.堆栈。 6. 多媒体 CPU 是带有 A.技术的处理器。它是一种 B.技术,特别适合于图像数据 处理。 7. 按照总线仲裁电路的位置不同,可分为 A.仲裁和 B.仲裁。 8. DMA 控制器访采用以下三种方法A.、B.、C.。 答案 1. A.控制器 B.运算器 2. A.输入编码 B. 内码 C.字模码 3. A.存储周期 B. 存储器带宽 4. A.并行 B.空间并行 C. 时间并行 5. A.先进后出 B.寄存器 C.存储器 6. A.MMX B.多媒体扩展结构 7. A.集中式 B.分布式 8. A.停止 CPU 访问 B.周期挪用 C. DMA 和 CPU 交替访内 专科生期末试卷五 一选择题(每小题 1 分,共 20 分) 1. 对计算机的产生有重要影响的是B。 A牛顿 维纳 图灵 B莱布尼兹 布尔 图灵 C巴贝奇 维纳 麦克斯韦 D莱布尼兹 布尔 克雷 2. 定点 16 位字长的字,采用 2 的补码形式表示时,一个字所能表示的整数范围是A。 A-215 215-1 B-215-1215-1 C-2151215 D-215215 3. 下列数中最小的数是_A_。 A(101001)2 B(52)8 C(2B)16 D(44)10 4. 已知 X-1/2 成立。 A. x1 必须为 1,x2x4 至少有一个为 1 B. x1 必须为 1,x2x4 任意 C. x1 必须为 0,x2x4 至少有一个为 1 D. x1 必须为 0,x2x4 任意 5在主存和 CPU 之间增加 cache 存储器的目的是C。 A. 增加内存容量 B. 提高内存的可靠性 C. 解决 CPU 与内存之间的速度匹配问题 D.增加内存容量,同时加快存取速度 6采用虚拟存储器的主要目的是_B_。 A. 提高主存储器的存取速度 B. 扩大存储器空间,并能进行自动管理 C. 提高外存储器的存取速度 D. 扩大外存储器的存储空间 7存储器是计算机系统的记忆设备,主要用于D。 A. 存放程序 B. 存放软件 C. 存放微程序 D. 存放程序和数据 8在指令的地址字段中,直接指出操作数本身的寻址方式,称为_B_。 A. 隐含地址 B.立即寻址 C.寄存器寻址 D. 直接寻址 9指令的寻址方式有顺序和跳跃两种方式,采用跳跃寻址方式,可以实现_D_。 A. 堆栈寻址 B. 程序的条件转移 C. 程序的无条件转移 D. 程序的条件转移成无条件转移 10堆栈寻址方式中,没 A 为累加器,SP 为堆栈指示器,Msp 为 SP 指示的栈顶单元。如果进 栈操作的动作顺序是AMsp,(SP)-1SP,那么出栈操作应为_B_。 A. MspA,SP1SP B. SP1SP,MspA C. SP-1SP,MspA D. MspA,SP-1SP 11Intel80486 是 32 位微处理器,pentium 是D位处理器。 A. 16 B. 32 C. 48 D. 64 12指令周期是指_C_。 A. CPU 从主存取出一条指令的时间。 B. CPU 执行一条指令的时间 C. CPU 从主存取出一条指令加上执行这条指令的时间 D. 时钟周期时间 13指出下面描述汇编语言特性的句子中概念上有错误的句子C。 A. 对程序员的训练要求来说,需要硬件知识 B. 汇编语言对机器的依赖性高 C. 用汇编语言编制程序的难度比高级语言小 D. 汇编语言编写的程序执行速度比高级语言快 14. 总线中地址线的用处是_D_。 A. 选择主存单元地址 B. 选择进行信息传输的设备 C. 选择外存地址 D. 指定主存单元和 I/O 设备接口电路的选择地址 15异步控制常用于_A_中,作为其主要控制方式。 A. 单总线结构计算机中访问主存与外围设备。 考试点 19 B. 微型机中的 CPU 控制 C. 组合逻辑控制的 CPU D. 微程序控制器 16在_A_的计算机系统中,外设可以和主存储器单元统一编址,因此可以不使用 I/O 指令。 A. 单总线 B. 双总线 C. 三总线 D. 多总线 17CD-ROM 光盘是B型光盘,可用做计算机的存储器和数字化多媒体 设备。 A. 重写,内 B. 只读,外 C. 一次,外 D. 只读,内 18CPU 响应中断时,进入“中断周期”采用硬件方法保护并更新程序计数器 PC 内容 而不是由软件完成,主要因为_A_。 A. 能进入中断处理程序并能正确返回原程序。 B. 节省内存。 C. 提高处理机速度。 D. 易于编制中断处理程序。 19采用 DMA 方式传送数据时,每传送一个数据就要占用_C_。 A. 一个指令周期 B. 一个机器周期 C. 一个存储周期 D. 一个总线周期 20CPU 对通道的请求形式是_D_。 A. 自陷 B. 中断 C. 通道命令 D. I/O 指令 二填空题(每空 1 分,共 20 分) 1计算机硬件包括 A.,B.,C.,适配器,输入/输出设备。 2 按IEEE754标准, 一个浮点数的阶码E的值等于指数的A.加上一个固定的B.。 3相联存储器是按 A.访问的存储器,在 cache 中用来存放 B.,在虚拟存储器中 用来存放 C.。 4不同机器有不同的 A.。RISC 指令系统是 B.指令系统的改进。 5流水 CPU 中的主要问题是 A.相关,B.相关和 C.相关;为此需要采用 相应的技术对策,才能保证流水畅通而不断流。 6PCI 总线是当前流行的总线。它是一个高 A.且与 B.无关的标准总线。 7外围设备大体分为输入设备,输出设备,A.设备,B.设备,C.设备五 大类。 8中断处理过程可以嵌套进行,A.的设备可以中断 B.的中断服务程序。 答案 1. A.运算器 B.存储器 C.控制器 2. A.真值 B.偏移量 3. A.内容 B.行地址表 C.段表、页表和快表 4. A.指令系统 B.CISC 5. A.资源 B.数据 C.控制 6. A.带宽 B.处理器 7. A.外存 B.数据通信 C.过程控制 8. A.优先级高 B.优先级低

中北计算机组成原理期末,中北大学《计算机组成原理》选择题和填空题试题大集合(含答案).pdf...相关推荐

  1. 计算机原理期末试卷,计算机组成原理 期末试卷七及答案

    计算机组成原理 期末试卷七及答案 (6页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 15.9 积分 期末试卷七一.选择题(每小题1分,共20分)1. 目 ...

  2. 简答题c语言文件操作顺序,计算机基础与程序设计2012年4月真题试题(02275)

    计算机基础与程序设计2012年4月真题试题与答案解析(02275) 计算机基础与程序设计2012年4月真题试题与答案解析(02275),本试卷总共100分. 一.单项选择题 (本大题共20小题.每小题 ...

  3. 2012二级c语言上机,2012全国计算机等级考试-二级C语言-上机考试-填空题-分类总结...

    <2012全国计算机等级考试-二级C语言-上机考试-填空题-分类总结>由会员分享,可在线阅读,更多相关<2012全国计算机等级考试-二级C语言-上机考试-填空题-分类总结(3页珍藏版 ...

  4. 历年计算机一级b考试试题及答案,全国计算机等级考试一级B历年试题合集含答案...

    好多原题 1. 全国计算机等级考试一级B历年试题合集含答案(CHM文件下载)>> (1)计算机的特点是处理速度快.计算精度高.存储容量大.可靠性高.工作全自动以及 A)造价低廉 B)便于大 ...

  5. C语言已知一数码字符串s,计算机基础与程序设计2005年4月真题试题(02275)

    计算机基础与程序设计2005年4月真题试题与答案解析(02275) 计算机基础与程序设计2005年4月真题试题与答案解析(02275),本试卷总共100分. 一.单项选择题 (本大题共20小题.每小题 ...

  6. 2019河北单招计算机考试模拟试题,2019年河北单招文科数学模拟试题(一)【含答案】.docx...

    2019 2019年河北单招文科数学模拟试题(一)[含答案] .选择题(本大题共 12个小题,每小题5分,共60分) 1.函数厂「I与y=ln(2 - x)的定义域分别为 M.N,则M n N=() ...

  7. 2018年河北单招计算机试题,2018年河北单招英语模拟试题一【含答案】.doc

    2018年河北单招英语模拟试题一[含答案].doc (9页) 本资源提供全文预览,点击全文预览即可全文预览,如果喜欢文档就下载吧,查找使用更方便哦! 7.9 积分 2018年河北单招英语模拟试题一[ ...

  8. 高一c语言期末试题,江苏省海安高中2020-2021学年高一上学期期中考试信息技术试题 Word版含答案...

    江苏省海安高中2020-2021学年高一上学期期中考试信息技术试题 Word版含答案 江苏省海安高级中学2020-2021学年度第一学期期中考试 高一信息技术 一.单项选择题(本大题共20小题,每小题 ...

  9. 四川师范大学Java期末_四川师范大学2008-2009第一学期常微分方程期末试题A英文版(含答案)精选.pdf...

    四川师范大学2008-2009第一学期常微分方程期末试题A英文版(含答案)精选 2008 2009 2008 2009 四川师范大22000099学年度第一学期常微分方 A A 程期末考试试题AA 四 ...

  10. 计算机组成原理期末复习90分以上选择填空大题总考点

                                                                                同学,你好! 若觉得有用请点赞或关注~以后会发布 ...

最新文章

  1. 剑指offer:面试题09. 用两个栈实现队列
  2. 基于ESP8266-01s 增加对于FZ35恒流电子负载WiFi接口
  3. [原创]什么是CMM?
  4. 汉字乱码_彻底搞懂这烦人的编码与乱码!
  5. java开发用怎么软件开发_Java 9中的5个功能将改变您开发软件的方式(还有2个不会)...
  6. java csf_WebService CSF使用详解 | 学步园
  7. kafka消息队列的概念理解
  8. 读书笔记《React:引领未来的用户界面开发框架》
  9. Python读取IRIS数据集并转换为PaddlePaddle中使用的reader
  10. Android 服务
  11. linux测试进程内存,怎么测试进程运行时的内存用量
  12. jQuery应用实例4:下拉列表
  13. Android安全补丁程序下载,2017年一月win7安全补丁更新包官方下载-2017Win7安全更新补丁包64位下载-西西软件下载...
  14. Mybatis常见技巧
  15. 计算机毕业设计Java智能超市导购系统(源码+系统+mysql数据库+lw文档)
  16. token系统讲解及过期处理
  17. cad渐变线怎么画_CAD中的图案渐变功能怎么使用 涨知识了
  18. 【Linux】htop命令的使用详解
  19. 超详细讲解SpringMVC三层架构
  20. 小程序规范怎么设计?精华整理

热门文章

  1. 【原创】一个线程oom,进程里其他线程还能运行吗?
  2. 追逐自己的梦想----------辅助制作第二十四课:寻路call的分析
  3. DRILLNET 2.0------第十章 井筒固井模块
  4. 打开SharePoint时遇到“Server error: http://go.microsoft.com/fwlink?LinkID=96177”
  5. python计算现场得分_如何使用Python(scikitlearn)计算factorananalysis得分?
  6. 仿ios相机apk_iCamera摄像头下载|iCamera仿苹果相机安卓版v4.0下载 _当游网
  7. 住房和城乡建设部关于2022年第十批一级建造师注册人员名单的公告
  8. HDOJ4699 Editor 栈
  9. 仿世纪佳缘登录注册页面
  10. qq输入法 for linux,QQ输入法for Mac如何下载及安装