八位比较器

module code:

module compare_8bit(equal, a, b);input [7:0]a, b;output equal;reg equal;always @(a or b)if(a > b)equal = 1;elseequal = 0;
endmodule

always块中必须要用reg型变量,所以equal申请为reg型,如果不使用always块,也可以申请为wire型,一般来说,模块的输出数据的类型设计为reg类型比较好

wire equal;
assign equal = (a > b);

测试代码

`timescale 1 ns/ 1 ns
module compare_8bit_vlg_tst();
reg eachvec;
reg [7:0] a;
reg [7:0] b;
wire equal;compare_8bit i1(.a(a),.b(b),.equal(equal)
);
initial
begin                                                  $display("Running testbench"); a = 8'b0000_0000;b = 8'b0000_0000;#100 a = 8'b0011_1100;#100 b = 8'b0101_1010;#100 a = 8'b1011_1100;#100 b = 8'b0101_1010;#100 $stop;end
always                                                 begin                                                  @eachvec;                                              end
endmodule

测试代码中,equal设计为wire类型的主要原因是为了方便测试,一般来讲,在数据类型声明时,和被测模块的输入端口相连的信号定义为reg类型,这样便于在initial语句和always语句中对其进行赋值,和被测模块输出端口相连的信号定义为wire类型,便于进行检测

[verilog] 八位比较器相关推荐

  1. 【 Verilog HDL 】基本运算逻辑的Verilog HDL 模型

    本文节选自<从算法设计到硬件逻辑的实现>,仅供学习交流使用. 加法器 用 Verilog HDL 来描述加法器是相当容易的,只需要把运算表达式写出就可以了,见下例. module add_ ...

  2. 用verilog 实现8bit数据的并串转换

    verilog 八位并串转换代码 输入信号为8bit并行信号 该程序实现每8个时钟周期,便把收到的8bit并行信号拆解成串行信号并输出,等下8个时钟周期过后再转换下一个并行信号. 并串转换代码 mod ...

  3. 简单运算器的设计与仿真

    若对你有用,记得点赞.关注我哦! 计算机组成原理期末复习[超实用] 一位全加器的设计与仿真 四位全加器的设计与仿真 八位比较器的设计与仿真 1/2分频器的设计和仿真 四选一多路选择器的设计与仿真 1. ...

  4. 四位全加器的设计与仿真

    若对你有用,记得点赞.关注我哦! 计算机组成原理期末复习[超实用] 一位全加器的设计与仿真 简单运算器的设计与仿真 八位比较器的设计与仿真 1/2分频器的设计和仿真 四选一多路选择器的设计与仿真 1. ...

  5. 1/2分频器的设计和仿真

    若对你有用,记得点赞.关注我哦! 计算机组成原理期末复习[超实用] 一位全加器的设计与仿真 四位全加器的设计与仿真 简单运算器的设计与仿真 八位比较器的设计与仿真 四选一多路选择器的设计与仿真 1. ...

  6. verilog——74HC85四位数值比较器并扩展为16位数值比较器

    verilog--74HC85四位数值比较器并扩展为16位数值比较器 74HC85的仿真 设计思路 代码 设计模块 测试模块 仿真结果 扩展为16位比较器 设计思路 串行代码实现 设计模块 测试模块 ...

  7. Verilog数字系统设计——8位数字比较器

    Verilog数字系统设计--8位数字比较器 题目 试分别使用门级原语和always 语句设计8位数字比较器,如果输入A[7:0]小于等于输入B[7:0]则输出1,否则输出0,并写出测试代码进行测试. ...

  8. FPGA系统性学习笔记连载_Day7【16位比较器设计】 【原理及verilog实现、仿真】篇

    FPGA系统性学习笔记连载_Day7[16位比较器设计] [原理及verilog实现.仿真]篇 连载<叁芯智能fpga设计与研发-第7天>[16位比较器设计] [原理及verilog实现. ...

  9. 基于 Verilog 的经典数字电路设计(2)比较器

    基于 Verilog 的经典数字电路设计(2)比较器 版权所有,新芯设计,转载文章,请注来源 引言

  10. 【FPGA】Verilog 实践:狄摩根定律 | 布尔方程 | 1bit 比较器

    写在前面:为了解狄摩根定理和布尔函数的行为,我们使用 Verilog 实现狄摩根定律和布尔函数的行为.生成输入信号后,验证通过仿真实现的结果. Ⅰ.  前置知识 0x00 离散结构:否定量词的狄摩根定 ...

最新文章

  1. 设置VSCode运行任务命令快捷键Alt+R,通常用于npm start(对频繁使用该命令可节省50%的输入命令行打字时间)
  2. SSM实现网上商城 有聊天功能
  3. 2017年50道Java线程面试题
  4. 马斯克嘲笑「元宇宙」的想法,并给年轻人5条鸡汤
  5. Micropython教程之TPYBoard制作蓝牙+红外循迹小车
  6. 企鹅java游戏下载安装_企鹅企鹅生活安卓版游戏下载|企鹅企鹅生活手游下载v1.6.1-乐游网安卓下载...
  7. java 根据类路径获取类_Java类加载器
  8. Dubbo+Zookeeper+SpringBoot
  9. java 自动加载jar_JAVA 动态(手动)加载jar文件
  10. POJ 1862 amp; ZOJ 1543 Stripies(贪心 | 优先队列)
  11. mysql char(36)_MySQL中char(36)被認為是GUID導致的BUG及解決方案
  12. 实验3-4 统计字符 (15 分)
  13. 九度OJ 1060:完数VS盈数 (数字特性)
  14. 巫师3储物箱在哪_巫师三孤岩宝箱在哪 | 手游网游页游攻略大全
  15. 支付宝区块链专利申请连续三年全球第一:办事效率提升1万倍
  16. 微信公众号推广的40个有效果的方法
  17. 服务器怎么格式化系统盘,如何格式化服务器
  18. 在 Python 中使用计算机视觉实现哈利波特的隐形斗篷
  19. Pre-commit:如何使用 husky、lint-staged和prettier优化你的项目
  20. 肖特基二极管、稳压二极管、瞬态二极管之间的区别的理解

热门文章

  1. 独孤求败-小滴云架构大课十八式【xiaoecf】
  2. 苹果手机回收价格怎么查询
  3. 《Java解惑》系列——02字符谜题——谜题11:最后的笑声(字符、字符串连接操作)
  4. Gmail服务器拒绝发送邮件,向Gmail发送邮件被退信,其他均正常,请协助,谢谢大家...
  5. xp服务器文件写保护怎么删除,Xp系统磁盘被写保护无法复制文件解决方法
  6. 解决玩Minecraft时鼠标Dpi不稳定问题
  7. 不用工具直接从微软官网下载Win10正式版ISO镜像的技巧
  8. Dracoo Master天龙卡牌大师
  9. 量子十问之四:“薛定谔猫”为什么会自然死亡?
  10. 文献跟踪、文献订阅工具