///
/Verilog左移位、、、、、、、、、、、
//
module shift_left(clk,rs,in,out);
    input clk;//时钟信号输入端口
    input rs;//高电平复位信号端口
    input [7:0]in;//数据输入信号端口
    output reg[7:0]out;//数据输出信号端口
    
    always@(posedge clk)begin
        if(rs)begin//如果复位信号为高电平
            out<=8'b0;
        end
        //否侧左移
        else begin
            out<={in[6:0],in[7]};//把高位拿走
        end
    end
endmodule

///
/Verilog左移位仿真验证
//

`timescale 1 ps/ 1 ps
module shift_left_vlg_tst();
// constants                                           
// general purpose registers
reg eachvec;
// test vector input registers
reg rs;
reg clk=0;
reg [7:0] in="k";
// wires                                               
wire [7:0]  out;

// assign statements (if any)                          
shift_left i1 (
// port map - connection between master ports and signals/registers   
    .clk(clk),
    .in(in),
    .out(out),
    .rs(rs)
);

parameter  s_clk=2000;

always #(s_clk)clk=~clk;

initial                                                
begin                                                  
// code that executes only once                        
// insert code here --> begin                          
          #(s_clk%20)rs=1'b1;

#(s_clk*2)rs=1'b0; 
// --> end                                             
$display("Running testbench");                       
end                                                    
always                                                 
// optional sensitivity list                           
// @(event1 or event2 or .... eventn)                  
begin                                                  
// code executes for every event on sensitivity list   
// insert code here --> begin                          
                                                       
@eachvec;                                              
// --> end                                             
end                                                    
endmodule

Verilog左移位相关推荐

  1. 位运算,左移位,右移位

    位运算: C语言既具有高级语言的特点,又具有低级语言的特点,如支持位运算就是其具体体现.这是因为,C语言最初是为了取代汇编语言设计系统软件而设计的,因此C语言必须支持位运算等汇编操作. 位运算就是对字 ...

  2. java 移位 简书_js,java中的 无符号右移位符,左移位符,有符号右移位符

    移位运算就是对二进制进行有规律低移位.移位运算可以设计很多奇妙的效果,在图形图像编程中应用广泛. "< "< 把数字 5 向左移动 2 位,则返回值为 20. cons ...

  3. 微课|中学生可以这样学Python(例6.2):列表循环左移位

    适用教材: 董付国,应根球.<中学生可以这样学Python>.清华大学出版社,2017. 第6章  函数 6.6  精彩例题分析与解答 例6.2  列表循环左移位 京东购买链接:https ...

  4. 算术左、右移位与逻辑左、右移位,右移一位和除二的区别、算术溢出

    当你通过搜索逻辑,算术移位运算的时候,一直寻找资料,只不过可能你没有找到满意的回答,看到这,你大概率可以结束你的搜索过程了. 这里先说一句很重要的话: 正数的原码,反码,补码三者相同. 负数的反码就是 ...

  5. 滑动平均值滤波的VERILOG实现

    ​  滑动平均值滤波是指先在RAM中建立一个数据缓冲区,依顺序存放N个采样数据,每采进一个新数据,就将最早采集的那个数据丢掉,而后求包括新数据在内的N个数据的算术平均值或加权平均值.这样,每进行一次采 ...

  6. Verilog的运算符及优先级

    Verilog运算符按功能可以分为八类. 1. 基本算数运算符 运算符 中文名 举例 举例结果 说明 + 加法运算符或正值运算符 12+3 15 同普通加法 - 减法运算符或负值运算符 12-3 9 ...

  7. 边沿检测 Verilog

    1.用verilog实现1bit信号边沿检测功能,输出一个周期宽度的脉冲信号 定义了一个2位的寄存器变量data_r,data_r[0]用来存储当前的状态,data_r[1]用来存储上一拍的状态 每个 ...

  8. 序列检测器(两种设计方法和四种检测模式|verilog代码|Testbench|仿真结果)

    序列检测器 一.前言 二.状态机法和寄存器法 2.1状态机法 2.11 使用状态机检测"1001" 2.12 verilog代码 2.13 testbench 2.14仿真结果 2 ...

  9. 位操作符:位与、|位或、^异或、~求反、左移位、带符号右移位、无符号右移位...

    . 操作符 名称 实例(例中使用字节) 描述 & 位与 10101110 & 10010010 得到10000010 两个相应位上比特如果都为1,则执行 与 操作会得到1 | 位或 1 ...

最新文章

  1. 网传阿里一总裁 PPT 被员工拍照泄漏,新规划遭曝光
  2. 简单的java多线程源码分享(二)
  3. crf linux使用教程,Linux下CRF++的使用
  4. oracle可以迁徙mysql吗_项目oracle迁徙到mysql的小总结
  5. 投票|PMCAFF产品经理第一课,下一站去哪?杭州、深圳、上海...
  6. CTF-Xortool,windows上的安装与使用
  7. .php on line 0,启动禅道项目管理软件时,报PHP Warning: PHP Startup: in Unknown on line 0解决方法...
  8. nrf connect 安卓_永诺YN450安卓系统无反相机
  9. java设计模式 外观,精掌握Java设计模式之外观模式(10)
  10. 动态数组ArrayList c# 1613536290
  11. 对话框响应WM_KEYDOWN消息
  12. 论文趣读:人工智能里程碑?回顾2015年登上Nature的DQN(全文翻译+批注)
  13. android提示用户去开启gps,在不使用GPS或互联网的情况下获取Android中用户的当前位置...
  14. 计算机快捷键任务管理器,打开电脑任务管理器快捷键是什么
  15. esxi主机,提示“当前主机无管理网络冗余“报警。
  16. 我的世界空岛服务器修改地形,我的世界攻略秘籍 [WorldPainter制作空岛]—我有特别的地形技巧...
  17. 一网打尽!炫酷枪火打击视频+图文+源码!哔哔哔......
  18. MacBook Pro M1外接显示器模糊解决之分辨率调节
  19. matlab 中点法,中点法解常微分方程(组)
  20. 机器学习和数据科学的最佳公共数据集

热门文章

  1. TEC1401.Report开发技术总结 - 第九章 使用BI Publisher开发报表-使用BI Publisher创建RTF模板的语法(4/5)
  2. Vue实现超级简单的tab切换
  3. Java word转pdf 精确获取文件页数(jacob)
  4. matlab中的unique
  5. java addlast_java linkedlist addlast()不能指定元素追加在此列表的末尾
  6. la force Comicsonair
  7. 实习期运维工程师一些日常问题的汇总
  8. 图片批量压缩工具哪个好用?这3个工具可以解决你的压缩烦恼
  9. 迷幻的find函数用法
  10. GE工业软件如何离线激活授权?