献给Java专业期末考试复习的同学 希望你们能考个好成绩

2010~2011学年第二学期哈尔滨华夏计算机职业技术学院期末考试

JAVA程序设计项目案例训练试题(A卷)

总分题号一二三四核分人题分40202020复查人得分答题说明:无答题卡,请在试卷上作答。

得分评卷人

一、选择题(共10小题,每小题4分,共计40分)

1. 下面( )数据类型可用于main()方法中传递的参数

A、String

B、Integer

C、Boolean

D、Variant

2. 在屏幕上显示的消息正确的语句是( )

A、System.out.println("I am Java Expert");

B、system.out.println("I am Java Expert");

C、System.Out.Println("I am Java Expert");

D、System.out.println('I am Java Expert');

3. 下面( )命令可用于编译Java程序

A、java

B、javac

C、appletviewer

D、javadoc

4. 在一个类中定义的成员变量和成员方法时,不允许( )。

A. 两个成员变量名相同.

B. 成员变量与成员方法名相同.

C. 两个成员方法名相同

D. 成员方法中的参数与成员变量名相同

5. 在Java中,一个类可同时定义许多同名的方法,这些方法的形式参数个数、类型或顺序各不相同,传回的值也可以不相同。这种面向对象程序的特性称为( )。

A、隐藏 B、覆盖 C、重载 D、Java不支持此特性

6. 执行下面的程序,输出结果是( )。

public class Test {

int x= 12;

public void method(int x) {

x+=x;

System.out.println(x);

}

public static void main(String[] args){

Test t = new Test();

t.method(5);

}

}

A. 5 B. 10 C. 12 D. 17 E. 24

7. 关于下面程序片段,( )的结论是正确的。

String a = "Java"; String b = "Java";

String x = "Ja"; String y = "va";

String c = x + y;

A、a和b指向同一个实例对象,a和c指向同一个实例对象

B、a和b指向同一个实例对象,a和c不指向同一个实例对象

C、a和b不指向同一个实例对象,a和c指向同一个实例对象

D、a和b不指向同一个实例对象,a和c不指向同一个实例对象

8. 设有定义:String s=”World”;,下列语句错误的是( )。

A、int m=s.indexOf(‘r’);

B、char c=s.charAt(0);

C、int n=s.length();

D、String str=s.append();

9. 执行完下面程序片段后,( B)的结论是正确的。

int a, b, c; a = 1; b = 2; c = (a + b > 3 ? a++ : b++);

A、a的值是2,b的值是3 B、a的值是1,b的值是3

C、a的值是1,b的值是2 D、c的值是false

10. 字符流与字节流的区别是( )。

A、每次读入的字节数不同 B、前者带有缓冲,后者没有

C、前者是块读写,后者是字节读写 D、二者没有区别,可以互换使用

得分评卷人 二、填空题(共10个空,每空2分,共计20分)

1.JVM是指( )。

2. 在Java语言中,所有的数组都有一个( )属性,这个属性存储了该数组的元素的个数(或者说数组长度)。

3. Java中类成员的限定词有以下几种:private 缺省, ( ), ( )。其中( )的限定的范围最大。

4. 在Java程序运行时,系统自动通过System类创建三个静态的I/O对象,它们是标准输入流对象( )、标准输出流对象( ) 和标准错误流对象( )。

5. java中的异常处理

java 项目 q a 表设计_《JAVA程序设计项目案例训练》期末试卷(A卷)..doc相关推荐

  1. 《 Python程序设计项目案例》— (期末大作业、课程设计、毕业设计)基于Python与Django的职工健康档案管理系统设计(附代码)

    基于Python与Django的职工健康档案管理系统的设计与实现 本文对基于Python与Django的职工健康档案管理系统的开发做了简单的介绍:项目的概述.项目整体的框架结构.项目部分的组成设计.项 ...

  2. Django框架项目——BBS项目介绍、表设计、表创建同步、注册、登录功能、登录功能、首页搭建、admin、头像、图片防盗、个人站点、侧边栏筛选、文章的详情页、点赞点踩、评论、后台管理、添加文章、头像

    文章目录 1 BBS项目介绍.表设计 项目开发流程 表设计 2 表创建同步.注册.登录功能 数据库表创建及同步 注册功能 登陆功能 3 登录功能.首页搭建.admin.头像.图片防盗.个人站点.侧边栏 ...

  3. [网络安全课设]基于JAVA的系统端口扫描软件设计实现(java代码+IDEA+UI图形界面+实验报告)

    链接::l基于JAVA的系统端口扫描软件设计实现(java代码+IDEA+UI图形界面+实验报告) 系统端口扫描软件设计实现 设计目的和任务 参照superscan.nmap等端口扫描软件的运行情况, ...

  4. 福州大学java期末试卷2012_福州大学 07Java语言程序设计试卷(A卷).doc

    福州大学 07Java语言程序设计试卷(A卷) 专业: 姓名: 学号: ==================================== 密 封 线 ===================== ...

  5. 计算机综合布线考试试题A,答案_网络综合布线期末考试A卷.doc

    答案_网络综合布线期末考试A卷 宿迁经贸高等职业技术学校2013--2014学年度第一学期 信息传媒系计算机网络技术专业2012级<网络综合布线> 期末试卷(A卷) (考试时间为90分钟) ...

  6. 湖南工程学院软件测试期末考试,(完整word版)湖南工程学院_高等数学试卷(A卷).doc...

    <(完整word版)湖南工程学院_高等数学试卷(A卷).doc>由会员分享,可在线阅读,更多相关<(完整word版)湖南工程学院_高等数学试卷(A卷).doc(4页珍藏版)>请 ...

  7. java闹钟程序设计_JAVA课程设计_闹钟的设计与实现项目-报告_附源代码.doc

    JAVA课程设计_闹钟的设计与实现项目-报告_附源代码 第2章 MACROBUTTON AcceptAllChangesInDoc [双击此处键入1级标题] PAGE 2 - PAGE 1 - .. ...

  8. 【校招VIP】“推推”Java项目课程:接口设计——详情数据和快速验证

    今天继续看一下商业实战项目[推推]小说详情模块的第三期.上节课做了文档设计,这节课做一下接口设计. 在前后端分离的项目里,接口设计非常重要.在正常的实习或者开发工作中,接口是需要Java工程师设计好. ...

  9. java项目导入包报错_转!java web项目 build path 导入jar包,tomcat启动报错 找不到该类...

    在eclipse集成tomcat开发java web项目时,引入的外部jar包,编译通过,但启动tomcat运行web时提示找不到jar包内的类,需要作如下配置,将jar包在部署到集成的tomcat环 ...

最新文章

  1. java 之 网络编程
  2. git分支操作的成功案例
  3. [css] 如果css文件过大时,如何异步加载它?
  4. HttpServletRequest即很多代码中的request对象
  5. mysql数据库d盘_Windows Server 2008 R2下修改MySQL 5.5数据库目录为D盘
  6. 华为USG6000防火墙配置合集
  7. 3ds Max2021软件安装包+安装教程
  8. GPS卫星定位—python实现
  9. nginx中报403 Forbidden问题
  10. 【Vue实用功能】Vue实现浏览器全屏退出全屏
  11. 啤酒与尿布:数据分析相关性分析案例一
  12. java-net-php-python-jspm广东阳光外国语学校新闻发布计算机毕业设计程序
  13. mdx文件转换成html,MDX/MDD 文件格式解析
  14. 数据结构C语言版(答案)
  15. web前端能做到多少岁
  16. ✔✔✔ TensorBoard 的正确打开方法(含错误解决方法,超详细) ✔✔✔
  17. Spring Integration 实例讲解
  18. 一文深入分析|RCU原理
  19. 搅局者·登场 — MateBook X Pro 长测
  20. 韩语中有“促音”吗?从音变语法中得到答案,从日语转韩语的学习者常见误区

热门文章

  1. 货物贸易外汇监测系统 企业版_重点耗能企业能耗监测计量系统,能源管控平台方案...
  2. authorize如何控制多个角色权限】_lincmsdotnetcore.是如何方法级别的权限控制(API级别)的...
  3. VMLite 安装linux系统,虚拟机linux安装vmtools
  4. html展示值 vue_vue中html页面写入$t(‘’)怎么显示
  5. 南昌-婺源-景德镇攻略
  6. (一)USB驱动程序_USB基础知识
  7. 桌面计算机有什么用,电脑桌面常用的软件有哪些
  8. 卑鄙者的墓志铭:REvil勒索软件罪魁首次被锁定
  9. 【读书笔记】《2030》阿尔伯特·布鲁克斯
  10. linux 命令行 播放器,linux下的命令行播放器moc