一.复位时序图

二.信号线间隔时间

间隔时间依次为 10ms

三.复位源码

`timescale 1ns / 1ps
//
// Company: 
// Engineer: fengzihao
// 
// Create Date: 2018/01/13 14:21:35
// Design Name: 
// Module Name: DSP_6678_0
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//

module DSP_6678_0(
input reset,
input clk_50m,

input     DSPA_RESETSTAT,
output     DSP_PORZ,
output   DSP_RESETFULLZ,
output   DSP_RESETZ
    );
    
       reg [18:0] counter1        =  0;
       reg [21:0]  counter2        =  0;
       reg         DSP_RESET_N     =  0;
       reg [18:0]     counter3        =  0;
       reg         DSP_POR_N       =  0;
       reg [18:0]     counter4        =  0;
       reg         DSP_RESETFULL_N =  0;
    assign DSP_PORZ = DSP_POR_N;
    assign DSP_RESETZ   = DSP_RESET_N;
    assign DSP_RESETFULLZ = DSP_RESETFULL_N;
    
       // DSP_RESETZ :  low '0' for 5 ms
   always@(posedge clk_50m or posedge reset)
   begin
        if(~reset)
        begin
             counter2 <= 0;
        end
        else if((counter2<22'd249999))
        begin
             counter2 <= counter2+1;
        end
        else
        begin
             counter2 <= counter2;
        end
   end
       
       always@(posedge clk_50m or posedge reset)
         begin
        begin
           if(~reset)
             begin
            DSP_RESET_N <= 1'b0;
             end
           else if(counter2==22'd249999)
             begin
            DSP_RESET_N <= 1'b1;
             end
           else
             begin
            DSP_RESET_N <= 1'b0;
             end
        end
         end
   // DSP_PORZ : low for about 10 ms
       always@(posedge clk_50m or posedge reset)//Switch_FPGA_RESET_N
         begin
        if(~reset)
          begin
             counter3 <= 0;
          end
        else if(DSP_RESET_N&&(counter3<19'd249999))
          begin
             counter3 <= counter3+1;
          end
        else
          begin
             counter3 <= counter3;
          end
         end
   
   always@(posedge clk_50m or posedge reset)
   begin
       if(~reset)
       begin
            DSP_POR_N <= 0;
       end
       else if(counter3==19'd249999)
       begin
            DSP_POR_N <= 1;
       end
       else
         begin
            DSP_POR_N <= 0;
         end
   end
   
      // DSP_RESETFULL_N : low for about 15 ms
   always@(posedge clk_50m or posedge reset)//Switch_FPGA_RESET_N
   begin
       if(~reset)
       begin
            counter4 <= 0;
       end
       else if(DSP_POR_N && (counter4<19'd249999))
       begin
            counter4 <= counter4+1;
       end
       else
       begin
            counter4 <= counter4;
       end
   end
   
   always@(posedge clk_50m or posedge reset)
   begin
       if(~reset)
       begin
            DSP_RESETFULL_N <= 0;
       end
       else if(counter4==19'd249999)
       begin
            DSP_RESETFULL_N <= 1;
       end
       else
       begin
            DSP_RESETFULL_N <= 0;
       end            
   end // always@ (posedge clk_50m or posedge reset)
          
endmodule

FPGA给DSP6678复位相关推荐

  1. 简谈FPGA的上电复位

    简谈FPGA的上电复位 今天和大侠简单聊一聊FPGA设计中的上电复位,话不多说,上货. 在基于verilog的FPGA设计中,我们常常可以看到以下形式的进程: 信号rst_n用来对进程中所用变量的初始 ...

  2. zynq 和fpga区别_FPGA复位的正确打开方式

    本篇文章参考Xilinx White Paper: Get Smart About Reset: Think Local, Not Global 在没看这篇文章前,回想一下平时我们常用的复位方式: 1 ...

  3. 为FPGA设计添加复位功能的注意事项

    本文将探讨在 FPGA 设计中添加复位输入的一些后果. 本文将回顾使用复位输入对给定功能进行编码的一些基本注意事项.设计人员可能会忽略使用复位输入的后果,但不正确的复位策略很容易造成重罚.复位功能会对 ...

  4. FPGA中关于复位的总结

    复位 复位的基本目的是使器件能够进入可以正常工作的状态(可知态).当系统进入异常状态后,通过复位能够让系统重新正常工作.一般把复位分为同步复位.异步复位以及无复位.无论是同步复位还是异步复位,复位数必 ...

  5. FPGA | 深入理解复位(补充)

    针对上一篇复位中出现的一些相关概念进行补充,作为了解学习. 一.复位恢复时间和复位解除时间 复位恢复时间(reset recovery time) 复位恢复时间: 解除复位信号时,复位边沿(当从有效变 ...

  6. FPGA之道(50)复位的设计

    文章目录 前言 复位的设计 为什么FPGA设计中要有复位 复位方式的分类 同步复位 异步复位 复位的设计方法 同步信号同步复位 同步信号异步复位 异步信号同步复位 异步信号异步复位 复位高扇出的解决方 ...

  7. FPGA开发中全局复位置位(GSR)

    最近几天读了Xilinx网站上一个很有意思的白皮书(white paper,wp272.pdf),名字叫<Get Smart About Reset:Think Local, Not Globa ...

  8. 三叔学FPGA系列之二:Cyclone V中的POR、配置、初始化,以及复位

    对于FPGA内部的复位,之前一直比较迷,这两天仔细研究官方数据手册,解开了心中的诸多疑惑,感觉自己又进步了呢..... 原创不易,转载请转原文,注明出处,谢谢.   一.关于POR(Power-On ...

  9. FPGA的复位设计要醒目点啦

    写在前面 本文内容主要来自Xilinx白皮书<wp272_Get Smart About Reset>,需要资源(有英文版和自翻中文版)的同学请转:Xilinx技术文档翻译汇总 真不是标题 ...

最新文章

  1. python log函数怎么打_Python的log日志功能及设置方法
  2. 【Android 安全】使用 360 加固宝加固应用 ( 加固工具准备 | 生成签名 APK | 加固操作 | 反编译验证加固效果 )
  3. 26.python常用端口号
  4. ps、top 、free查看用户资源信息
  5. 好的微服务架构=企业服务总线(ESB)的灭亡?
  6. 你还在用命令看日志?用这款可视化工具简直太方便了!
  7. Git学习之路(6)- 分支操作
  8. Fortinet 修复严重漏洞,可导致未认证黑客以最高权限执行任意代码
  9. 软件开发中的需求文档由谁来编写_使用 RStudio 中的 Rmarkdown 编写演示文档
  10. 【李宏毅2020 ML/DL】P3 Regression - Case Study
  11. zynq开发系列2:GPIO连接MIO控制LED闪烁(SDK端代码编写详解)
  12. DEPHI XE5 XE6 ANDROID IOS开发的几点体会
  13. CVPR 2022 | UniDet:通用的多数据集目标检测
  14. 数据库-在E-R模型中,如果有5个不同的实体集,存在2个1:n联系和3个m:n联系,根据E-R模型转换为关系模型的规则,该E-R图转换为关系模式的数目至少
  15. react native Android端保持APP后台运行--封装 Headless JS
  16. 昆石VOS2009/VOS3000 2.1.6.00 操作指南
  17. OTFS白皮书-翻译
  18. 三冲IPO,亨达海天能否敲开美股上市大门?
  19. 微信小程序开发需要注意的29个坑
  20. [转]医保 北京医保存折如何取钱

热门文章

  1. Graphics2d消除锯齿整理
  2. 算法-二分法和牛顿法求指定精度平方根
  3. 大型网站架构模式【大型网站技术架构.核心原理与案例分析】(阅读分享)
  4. 交通规划——基于TransCAD的线性参照和动态分段流程实现
  5. iOS学习笔记38-新浪微博错误代码详解
  6. qt creator linux使用教程,linux qt creator使用教程
  7. iOS 视频播放器开发
  8. 林轩田机器学习基石-Notes
  9. HTTPS站点使用WebSocket请求被阻止的问题与相关Nginx配置
  10. 有约束多变量寻优方法——内点罚函数法